Category Archives: Metrology

Researchers at aBeam Technologies, Lawrence Berkeley National Laboratory and Argonne National Laboratory have developed a technology to fabricate test patterns with a minimum linewidth down to 1.5nm. The fabricated nanostructures are used to test metrological equipment. The designed patterns involve thousands of lines with precisely designed linewidths; these lines are combined in such a way that the distribution of linewidths appears to be random at any location. This pseudo- random test pattern allows nanometrological systems to be characterized over their entire dynamic range.

lawrence berk micro2 lawrence berk micro1

TEM images of the test pattern with linewidths down to 1.5nm. The width of the lines was designed to form a pseudo-random test pattern; the pattern is used to characterize metrological instrumentation. The scale bar on the top image is 50nm. 

The test pattern contains alternating lines of silicon and silicon-tungsten, this results in a pretty good contrast in the metrological systems. The size of the sample is fairly large, apprx. 6×6 microns, and involves thousands of lines, each according to its designed width. Earlier, aBeam and LBNL reported the capability of fabricating 4nm lines and spaces using e-beam lithography, atomic layer deposition, and nanoimprint.

Dr. Sergey Babin, president of aBeam Technologies said, “The semiconductor industry is moving toward a half-pitch of 11nm and 7nm. Therefore, metrology equipment should be very accurate, at least one order of magnitude more accurate than that. The characterization of metrology systems requires test patterns at a scale one order smaller than the measured features. The fabrication was a challenge, especially for such a complex pattern as a pseudo-random design, but we succeeded.”

Dr. Valeriy Yashchuk, a researcher at the Advanced Light Source of LBNL continued: “When you measure anything, you have to be sure that your metrological system produces accurate results, otherwise what kind of results will you get, nobody knows. Qualifying and tuning metrology systems at the nanoscale is not easy. We designed the test pattern that is capable of characterizing nano-metrology systems over their entire dynamic range, resulting in the modulation transfer function, the most comprehensive characteristic of any system.”

The test pattern is to be used to characterize almost any nano-metrology system. Experiments were performed using a scanning electron microscope (SEM), atomic force microscope (AFM), and soft x-ray microscopes. A part of an ideal test-sample and its SEM microscopy image is shown below. The image includes imperfection in the microscope and needs to be characterized.

The power spectral density of the sample is flat; the spectra of the image has a significant cut-off at high frequencies; this is used to characterize the microscope over its dynamic range and show the degradation of the microscope’s sensitivity as soon as the linewidth becomes smaller.

This project was led by Dr. Sergey Babin, president of aBeam Technologies, Dr. Valeriy Yashchuk of Lawrence Berkeley National Laboratory and Dr. Ray Conley of Argonne National Laboratory. This work was supported by the Department of Energy under the contract #DE-SC0011352 in the framework of a STTR project.

Extreme ultraviolet lithography (EUV), 3D integration, and the Internet of Things will be among critical technologies featured at SPIE Advanced Lithography 2015 22 to 26 February in San Jose, California.

The SPIE symposium is the year’s premier lithography event, bringing together leading industry professionals and academic researchers for conference presentations, panel discussions, a suite of professional development courses, an exhibition featuring major industry suppliers, and numerous networking opportunities.

SPIE 2015 marks the 40th anniversary of the event, organized by SPIE, the international society for optics and photonics.

Conference topics are extreme ultraviolet lithography; alternative lithography; metrology, inspection, and process control; patterning materials and processes; optical lithography, DPI manufacturability, and advanced etch technology for nanopatterning. Panel discussions will explore metrology for 3D devices and dimensional scaling.

SPIE 2015 will once again provide a forum for meeting and interacting with a wide range of industry experts, researchers, and other key players in the field, noted symposium chair Mircea Dusa of ASML US, Inc., and symposium cochair Bruce Smith of the Rochester Institute of Technology. The event is unmatched as a forum for facilitating collaboration and networking, and for sharing the latest developments in areas of central importance in lithography, they said.

Plenary presentations from Xiaowei Shen, director of IBM Research in China; Tsu-Jae King Liu of the University of California, Berkley; and Alan Willner from the University of Southern California will open the conference program on Monday 23 February.

Shen will outline the technical challenges of building Internet-of-Things (IoT) cloud platforms and describe his experience in creating IoT solutions in fields such as renewable-energy forecasting and chronic-disease management.

Liu will detail challenges in transistor scaling and the cost-effective 3D integration required to sustain the growth of the semiconductor industry.

Willner will give an overview of the National Photonics Initiative (NPI) and detail recent success in advocacy for the semiconductor industry – including the announcement in November of a a $110 million matching funding commitment from the U.S. Department of Defense for a new Integrated Photonics Institute for Manufacturing Innovation.

Exhibiting companies including ASML, Zeiss, Mentor Graphics, ShinEtsu, TOK, Synopsys, JSR Micro, Tokyo Electron, Physik Instrumente, and Brewer Science will show the latest developments in equipment, software, and techniques, in the two-day exhibiton, 24-25 February.

New Fellows of SPIE from the lithography community will be recognized and the Frits Zernike Award for Microlithography will be presented.

The largest lithography expert gathering on the globe, SPIE 2015 is expected to host more than 2,400 individuals from more than 30 countries.

The automotive semiconductor market did exceptionally well in 2014, according to new analysis from IHS. Robust vehicle production growth, together with increased semiconductor content in cars charted a path of 10 percent growth year over year to reach $29B in 2014. IHS reports the fastest growing segments for automotive semiconductors are hybrid electric vehicles, telematics and connectivity and advanced driver assistance systems (ADAS).

The semiconductor revenue in these applications is forecast to achieve a compound annual growth rate (CAGR 2013–2018) of 20 percent, 19 percent and 18 percent respectively. The outlook for 2015 is also promising and the automotive semiconductor market is forecast to reach $31B, a strong 7.5 percent improvement over 2014.

Main growth drivers

Emissions legislations are leading semiconductor take rates in powertrain applications in regions around the world.

“The new concepts in emissions mitigation in the engine and in exhaust aftertreatment systems require advance sensors for their operation, said Ahad Buksh, analyst, automotive semiconductors, at IHS. “For example, a hybrid electric vehicle demands ten times more semiconductor content in powertrain,” he said.

Some of the key semiconductor applications for these vehicles include: a motor inverter is needed to convert the direct current to alternating current and vice versa, DC/DC converter is needed for bidirectional voltage control, battery management system is needed to monitor the state of the battery and plug-in charger required for charging the battery. All these applications require high-power management which will be achieved mainly with analog integrated circuits (ICs) and discrete components. After 24 percent growth in 2014, this segment is forecast to increase 22 percent in 2015, the highest of any automotive application.

Safety mandates and guidelines are driving the adoption of ADAS technology. Because of the encouragement of regional authorities and regulators for better safety standards, OEMs are increasingly adopting ADAS applications such as Lane Departure Warning (LDW), Forward Collision Warning (FCW) and Automatic Emergency Braking (AEB), among other technologies. These applications are being implemented with a front view camera module besides radar and lidar modules, providing high potential for semiconductor growth. A higher processing power (DMIPS) in micro-component ICs, increased non-volatile memory for image storage and increased volatile memory for execution of image processing functions would be required for these applications. The semiconductor market for ADAS technology is expected to reach $1.8B in 2015, a 21 percent increase over 2014.

The infotainment domain also provides strong growth opportunities for the future.  An important trend in head-units is the high-definition video function. It primarily comes from the adoption of consumer and mobile devices. This is also reflected in the incredible growth of the consumer electronic suppliers in the automotive industry, including Nvidia, which is estimated to have grown more than 80 percent in 2014.

The next five years are extremely important for telematics and broadband technology as well. 4G LTE technology will continue to grow in 2015, marking an inflection point toward sunset on 2G and 2.5G solutions in years to come. In the instrument cluster, the trend is moving from conventional analog to hybrid and fully digital instrument clusters. At the moment, the premium OEMs are going for a digital approach for their high-end vehicles, but in the long run, having digital instrument clusters in all the vehicles could be an option as well.

2014 Winners

2014 has seen a major change in the automotive supply chain, according to the Competitive Landscaping Tool CLT – Automotive – Q4 2014, now available from IHS Technology.  It has been a great year for Infineon, which enjoyed double digit revenue growth. Infineon has a strong presence in Powertrain, Chassis and Safety and Body and Convenience domains. Increased electrification in vehicles has helped its power management solutions, including the micro-component ICs.  Infineon, which was lagging more than $500 million behind Renesas in 2013, has now taken the lead over Renesas, who had been leading the market for many years.

IHS research indicates this change is largely due to fluctuation rates between the U.S. Dollar and the Japanese Yen, but it does not take into account the acquisition of International Rectifier, which was still in process in 2014. Now that the acquisition is complete, Infineon will further increase its lead over Renesas. International Rectifier’s strong presence in low-power insulated-gate bipolar transistor (IGBT), power modules and power metal-oxide-semiconductor field-effect transistor (MOSFET) arenas will particularly reinforce Infineon’s position in the key growing applications.

Based on the IHS analysis, other suppliers and their ranks are as follows:

Top Winners among Automotive Semiconductors Suppliers in 2014

Supplier

Rank, 2014

Rank, 2013

Market Share, 2014

Market Share, 2013

Key Drivers

Infineon

1

2

9.8%

9.2%

  • Strong growth in Chassis and Safety, Powertrain and Body and Convenience
  • Infineon’s power management solution benefit from HEV/EVs market

Freescale

4

4

7.4%

7.0%

  • Distinctive presence in fast growing segments such as Infotainment, ADAS and HEV/EVs

Texas Instruments

5

7

6.4%

5.3%

  • Strong year for TI’s embedded processors especially in ADAS and Infotainment

On Semiconductors

8

8

3.6%

2.9%

  • Increased position in ADAS with acquisition of Aptina’s CMOS imaging sensors

Micron

9

13

2.5%

1.8%

  • Increased its share in memory ICs for infotainment with its DRAM and eMMC solutions

Source: IHS

Soitec (Euronext) has introduced its eSI90 substrate, the newest high-end wafer in its radio-frequency silicon-on-insulator (RF-SOI) product family. The eSI90 is designed to improve the RF performance of mobile communication components such as high-linearity switches and antenna tuners that are integrated in high-end smart phones for LTE Advanced networks using carrier aggregation. This enables multiple LTE carriers to be used together, providing higher data rates to enhance user experience.

The new wafers are Soitec’s second generation of eSI substrates, based on engineered high-resistivity (HR) substrates. Today, eSI substrates have been widely adopted by leading RF semiconductor companies to address device cost and performance needs for the 3G and 4G/LTE mobile wireless markets. Soitec’s eSI90 product exhibits higher effective resistivity than first-generation eSI wafers, enabling a 10-decibel (dB) improvement in linearity performance in RF front-end modules to address the stringent new requirements of LTE Advanced smart phones.

“Soitec continues to be the innovation frontrunner in RF-SOI substrates for the mobile industry with the introduction of eSI90, enabling high-performance RF devices for LTE Advanced and next-generation smart phones,” said Dr. Bernard Aspar, senior vice president and general manager of Soitec’s Communication & Power Business Unit. “Today, we estimate that more than one billion RF devices are produced each quarter using our eSI wafers. We are pleased to help our customers, the leading RF IC companies, meet the booming demand from the LTE Advanced market.”

Soitec developed a new metrology standard, the Harmonic Quality Factor (HQF), to predict the expected RF linearity of finished ICs. HQF correlates with the second harmonic distortion value of a coplanar waveguide deposited on the substrate. The new eSI90 wafers’ HQF maximum value is set to -90 decibel-milliwatts (dBm) compared to -80 dBm for first-generation eSI substrates. The lower limit on eSI90 wafers enables chip makers to take advantage of design and process improvements to increase the RF performance of their semiconductor designs and to meet MIMO (Multi-Input Multi-Output) and Carrier Aggregation LTE Advanced requirements, providing faster data connections.

Leading industry experts provide their perspectives on what to expect in 2015. 3D devices and 3D integration, rising process complexity and “big data” are among the hot topics.

Entering the 3D era

Ghanayem_SSteve Ghanayem, vice president, general manager, Transistor and Interconnect Group, Applied Materials

This year, the semiconductor industry celebrates the 50th anniversary of Moore’s Law. We are at the onset of the 3D era. We expect to see broad adoption of 3D FinFETs in logic and foundry. Investments in 3D NAND manufacturing are expanding as this technology takes hold. This historic 3D transformation impacting both logic and memory devices underscores the aggressive pace of technology innovation in the age of mobility. The benefits of going 3D — lower power consumption, increased processing performance, denser storage capacity and smaller form factors — are essential for the industry to enable new mobility, connectivity and Internet of Things applications.

The semiconductor equipment industry plays a major role in enabling this 3D transformation through new materials, capabilities and processes. Fabricating leading-edge 3D FinFET and NAND devices adds complexity in chip manufacturing that has soared with each node transition. The 3D structure poses unique challenges for deposition, etch, planarization, materials modification and selective processes to create a yielding device, requiring significant innovations in critical dimension control, structural integrity and interface preparation. As chips get smaller and more complex, variations accumulate while process tolerances shrink, eroding performance and yields. Chipmakers need cost-effective solutions to rapidly ramp device yield to maintain the cadence of Moore’s Law. Given these challenges, 2015 will be the year when precision materials engineering technologies are put to the test to demonstrate high-volume manufacturing capabilities for 3D devices.

Achieving excellent device performance and yield for 3D devices demands equipment engineering expertise leveraging decades of knowledge to deliver the optimal system architecture with wide process window. Process technology innovation and new materials with atomic-scale precision are vital for transistor, interconnect and patterning applications. For instance, transistor fabrication requires precise control of fin width, limiting variation from etching to lithography. Contact formation requires precision metal film deposition and atomic-level interface control, critical to lowering contact resistance. In interconnect, new materials such as cobalt are needed to improve gap fill and reliability of narrow lines as density increases with each technology node. Looking forward, these precision materials engineering technologies will be the foundation for continued materials-enabled scaling for many years to come.

Increasing process complexity and opportunities for innovation

trafasBrian Trafas, Chief Marketing Officer, KLA-Tencor Corporation

The 2014 calendar year started with promise and optimism for the semiconductor industry, and it concluded with similar sentiments. While the concern of financial risk and industry consolidation interjects itself at times to overshadow the industry, there is much to be positive about as we arrive in the new year. From increases in equipment spending and revenue in the materials market, to record level silicon wafer shipments projections, 2015 forecasts all point in the right direction. Industry players are also doing their part to address new challenges, creating strategies to overcome complexities associated with innovative techniques, such as multipatterning and 3D architectures.

The semiconductor industry continues to explore new technologies, including 3DIC, TSV, and FinFETs, which carry challenges that also happen to represent opportunities. First, for memory as well as foundry logic, the need for multipatterning to extend lithography is a key focus. We’re seeing some of the value of a traditional lithography tool shifting into some of the non-litho processing steps. As such, customers need to monitor litho and non-litho sources of error and critical defects to be able to yield successfully at next generation nodes.  To enable successful yields with decreasing patterning process windows, it is essential to address all sources of error to provide feed forward and feed backward correctly.

The transition from 2D to 3D in memory and logic is another focus area.  3D leads to tighter process margins because of the added steps and complexity.  Addressing specific yield issues associated with 3D is a great opportunity for companies that can provide value in addressing the challenges customers are facing with these unique architectures.

The wearable, intelligent mobile and IoT markets are continuing to grow rapidly and bring new opportunities. We expect the IoT will drive higher levels of semiconductor content and contribute to future growth in the industry. The demand for these types of devices will add to the entire value chain including semiconductor devices but also software and services.  The semiconductor content in these devices can provide growth opportunities for microcontrollers and embedded processors as well sensing semiconductor devices.

Critical to our industry’s success is tight collaboration among peers and with customers. With such complexity to the market and IC technology, it is very important to work together to understand challenges and identify where there are opportunities to provide value to customers, ultimately helping them to make the right investments and meet their ramps.

Controlling manufacturing variability key to success at 10nm

Rick_Gottscho_Lam_ResearchRichard Gottscho, Ph.D., Executive Vice President, Global Products, Lam Research Corporation 

This year, the semiconductor industry should see the emergence of chip-making at the 10nm technology node. When building devices with geometries this small, controlling manufacturing process variability is essential and most challenging since variation tolerance scales with device dimensions.

Controlling variability has always been important for improving yield and device performance. With every advance in technology and change in design rule, tighter process controls are needed to achieve these benefits. At the 22/20nm technology node, for instance, variation tolerance for CDs (critical dimensions) can be as small as one nanometer, or about 14 atomic layers; for the 10nm node, it can be less than 0.5nm, or just 3 – 4 atomic layers. Innovations that drive continuous scaling to sub-20nm nodes, such as 3D FinFET devices and double/quadruple patterning schemes, add to the challenge of reducing variability. For example, multiple patterning processes require more stringent control of each step because additional process steps are needed to create the initial mask:  more steps mean more variability overall. Multiple patterning puts greater constraints not only on lithography, but also on deposition and etching.

Three types of process variation must be addressed:  within each die or integrated circuit at an atomic level, from die to die (across the wafer), and from wafer to wafer (within a lot, lot to lot, chamber to chamber, and fab to fab). At the device level, controlling CD variation to within a few atoms will increasingly require the application of technologies such as atomic layer deposition (ALD) and atomic layer etching (ALE). Historically, some of these processes were deemed too slow for commercial production. Fortunately, we now have cost-effective solutions, and they are finding their way into volume manufacturing.

To complement these capabilities, advanced process control (APC) will be incorporated into systems to tune chemical and electrical gradients across the wafer, further reducing die-to-die variation. In addition, chamber matching has never been more important. Big data analytics and subsystem diagnostics are being developed and deployed to ensure that every system in a fab produces wafers with the same process results to atomic precision.

Looking ahead, we expect these new capabilities for advanced variability control to move into production environments sometime this year, enabling 10nm-node device fabrication.

2015: The year 3D-IC integration finally comes of age

SONY DSCPaul Lindner, Executive Technology Director, EV Group

2015 will mark an important turning point in the course of 3D-IC technology adoption, as the semiconductor industry moves 3D-IC fully out of development and prototyping stages onto the production floor. In several applications, this transition is already taking place. To date, at least a dozen components in a typical smart phone employing 3D-IC manufacturing technologies. While the application processor and memory in these smart devices continue to be stacked at a package level (POP), many other device components—including image sensors, MEMS, RF front end and filter devices—are now realizing the promise of 3D-IC, namely reduced form factor, increased performance and most importantly reduced manufacturing cost.

The increasing adoption of wearable mobile consumer products will also accelerate the need for higher density integration and reduced form factor, particularly with respect to MEMS devices. More functionality will be integrated both within the same device as well as within one package via 3D stacking. Nine-axis international measurement units (IMUs, which comprise three accelerometers, three gyroscopes and three magnetic axes) will see reductions in size, cost, power consumption and ease of integration.

On the other side of the data stream at data centers, expect to see new developments around 3D-IC technology coming to market in 2015 as well. Compound semiconductors integrated with photonics and CMOS will trigger the replacement of copper wiring with optical fibers to drive down power consumption and electricity costs, thanks to 3D stacking technologies. The recent introduction of stacked DRAM with high-performance microprocessors, such as Intel’s Knights Landing processor, already demonstrate how 3D-IC technology is finally delivering on its promises across many different applications.

Across these various applications that are integrating stacked 3D-IC architectures, wafer bonding will play a key role. This is true for 3D-ICs integrating through silicon vias (TSVs), where temporary bonding in the manufacturing flow or permanent bonding at the wafer-level is essential. It’s the case for reducing power consumption in wearable products integrating MEMS devices, where encapsulating higher vacuum levels will enable low-power operation of gyroscopes. Finally, wafer-level hybrid fusion bonding—a technology that permanently connects wafers both mechanically and electrically in a single process step and supports the development of thinner devices by eliminating adhesive thickness and the need for bumps and pillars—is one of the promising new processes that we expect to see utilized in device manufacturing starting in 2015.

2015: Curvilinear Shapes Are Coming

Aki_Fujimura_D2S_midresAki Fujimura, CEO, D2S

For the semiconductor industry, 2015 will be the start of one of the most interesting periods in the history of Moore’s Law. For the first time in two decades, the fundamental machine architecture of the mask writer is going to change over the next few years—from Variable Shaped Beam (VSB) to multi-beam. Multi-beam mask writing is likely the final frontier—the technology that will take us to the end of the Moore’s Law era. The write times associated with multi-beam writers are constant regardless of the complexity of the mask patterns, and this changes everything. It will open up a new world of opportunities for complex mask making that make trade-offs between design rules, mask/wafer yields and mask write-times a thing of the past. The upstream effects of this may yet be underappreciated.

While high-volume production of multi-beam mask writing machines may not arrive in time for the 10nm node, the industry is expressing little doubt of its arrival by the 7nm node. Since transitions of this magnitude take several years to successfully permeate through the ecosystem, 2015 is the right time to start preparing for the impact of this change.  Multi-beam mask writing enables the creation of very complex mask shapes (even ideal curvilinear shapes). When used in conjunction with optical proximity correction (OPC), inverse lithography technology (ILT) and pixelated masks, this enables more precise wafer writing with improved process margin.  Improving process margin on both the mask and wafer will allow design rules to be tighter, which will re-activate the transistor-density benefit of Moore’s Law.

The prospect of multi-beam mask writing makes it clear that OPC needs to yield better wafer quality by taking advantage of complex mask shapes. This clear direction for the future and the need for more process margin and overlay accuracy at the 10nm node aligns to require complex mask shapes at 10nm. Technologies such as model-based mask data preparation (MB-MDP) will take center stage in 2015 as a bridge to 10nm using VSB mask writing.

Whether for VSB mask writing or for multi-beam mask writing, the shapes we need to write on masks are increasingly complex, increasingly curvilinear, and smaller in minimum width and space. The overwhelming trend in mask data preparation is the shift from deterministic, rule-based, geometric, context-independent, shape-modulated, rectangular processing to statistical, simulation-based, context-dependent, dose- and shape-modulated, any-shape processing. We will all be witnesses to the start of this fundamental change as 2015 unfolds. It will be a very exciting time indeed.

Data integration and advanced packaging driving growth in 2015

mike_plisinski_hiMike Plisinski, Chief Operating Officer, Rudolph Technologies, Inc.

We see two important trends that we expect to have major impact in 2015. The first is a continuing investment in developing and implementing 3D integration and advanced packaging processes, driven not only by the demand for more power and functionality in smaller volumes, but also by the dramatic escalation in the number and density I/O lines per die. This includes not only through silicon vias, but also copper pillar bumps, fan-out packaging, hyper-efficient panel-based packaging processes that use dedicated lithography system on rectangular substrates. As the back end adopts and adapts processes from the front end, the lines that have traditionally separated these areas are blurring. Advanced packaging processes require significantly more inspection and control than conventional packaging and this trend is still only in its early stages.

The other trend has a broader impact on the market as a whole. As consumer electronics becomes a more predominant driver of our industry, manufacturers are under increasing pressure to ramp new products faster and at higher volumes than ever before. Winning or losing an order from a mega cell phone manufacturer can make or break a year, and those orders are being won based on technology and quality, not only price as in the past. This is forcing manufacturers to look for more comprehensive solutions to their process challenges. Instead of buying a tool that meets certain criteria of their established infrastructure, then getting IT to connect it and interpret the data and write the charts and reports for the process engineers so they can use the tool, manufacturers are now pushing much of this onto their vendors, saying, “We want you to provide a working tool that’s going to meet these specs right away and provide us the information we need to adjust and control our process going forward.” They want information, not just data.

Rudolph has made, and will continue to make, major investments in the development of automated analytics for process data. Now more than ever, when our customer buys a system from us, whatever its application – lithography, metrology, inspection or something new, they also want to correlate the data it generates with data from other tools across the process in order to provide more information about process adjustments. We expect these same customer demands to drive a new wave of collaboration among vendors, and we welcome the opportunity to work together to provide more comprehensive solutions for the benefit of our mutual customers.

Process Data – From Famine to Feast

Jack Hager Head ShotJack Hager, Product Marketing Manager, FEI

As shrinking device sizes have forced manufacturers to move from SEM to TEM for analysis and measurement of critical features, process and integration engineers have often found themselves having to make critical decisions using meagre rations of process data. Recent advances in automated TEM sample preparation, using FIBs to prepare high quality, ultra-thin site-specific samples, have opened the tap on the flow of data. Engineers can now make statistically-sound decisions in an environment of abundant data. The availability of fast, high-quality TEM data has whet their appetites for even more data, and the resulting demand is drawing sample preparation systems, and in some cases, TEMs, out of remote laboratories and onto the fab floor or in a “near-line” location. With the high degree of automation of both the sample preparation and TEM, the process engineers, who ultimately consume the data, can now own and operate the systems that generate this data, thus having control over the amount of data created.

The proliferation of exotic materials and new 3D architectures at the most advanced nodes has dramatically increased the need for fast, accurate process data. The days when performance improvements required no more than a relatively simple “shrink” of basically 2D designs using well-understood processes are long gone. Complex, new processes require additional monitoring to aide in process control and failure analysis troubleshooting. Defects, both electrical and physical, are not only more numerous, but typically smaller and more varied. These defects are often buried below the exposed surface which limits traditional inline defect-monitoring equipment effectiveness. This has resulted in renewed challenges in diagnosing their root causes. TEM analysis now plays a more prevalent role providing defect insights that allow actionable process changes.

While process technologies have changed radically, market fundamentals have not. First to market still commands premium prices and builds market share. And time to market is determined largely by the speed with which new manufacturing processes can be developed and ramped to high yields at high volumes. It is in these critical phases of development and ramp that the speed and accuracy of automated sample preparation and TEM analysis is proving most valuable. The methodology has already been adopted by leading manufacturers across the industry – logic and memory, IDM and foundry. We expect the adoption to continue, and with it, the migration of sample preparation and advanced measurement and analytical systems into the fab. 

Diversification of processes, materials will drive integration and customization in sub-fab

Kate Wilson PhotoKate Wilson, Global Applications Director, Edwards

We expect the proliferation of new processes, materials and architectures at the most advanced nodes to drive significant changes in the sub fab where we live. In particular, we expect to see a continuing move toward the integration of vacuum pumping and abatement functions, with custom tuning to optimize performance for the increasingly diverse array of applications becoming a requirement. There is an increased requirement for additional features around the core units such as thermal management, heated N2 injection, and precursor treatment pre- and post-pump that also need to be managed.

Integration offers clear advantages, not only in cost savings but also in safety, speed of installation, smaller footprint, consistent implementation of correct components, optimized set-ups and controlled ownership of the process effluents until they are abated reliably to safe levels. The benefits are not always immediately apparent. Just as effective integration is much more than simply adding a pump to an abatement system, the initial cost of an integrated system is more than the cost of the individual components. The cost benefits in a properly integrated system accrue primarily from increased efficiencies and reliability over the life of the system, and the magnitude of the benefit depends on the complexity of the process. In harsh applications, including deposition processes such as CVD, Epi and ALD, integrated systems provide significant improvements in uptime, service intervals and product lifetimes as well as significant safety benefits.

The trend toward increasing process customization impacts the move toward integration through its requirement that the integrator have detailed knowledge of the process and its by-products. Each manufacturer may use a slightly different recipe and a small change in materials or concentrations can have a large effect on pumping and abatement performance. This variability must be addressed not only in the design of the integrated system but also in tuning its operation during initial commissioning and throughout its lifetime to achieve optimal performance. Successful realization of the benefits of integration will rely heavily on continuing support based on broad application knowledge and experience.

Giga-scale challenges will dominate 2015

Dr. Zhihong Liu

Dr. Zhihong Liu, Executive Chairman, ProPlus Design Solutions, Inc.

It wasn’t all that long ago when nano-scale was the term the semiconductor industry used to describe small transistor sizes to indicate technological advancement. Today, with Moore’s Law slowing down at sub-28nm, the term more often heard is giga-scale due to a leap forward in complexity challenges caused in large measure by the massive amounts of big data now part of all chip design.

Nano-scale technological advancement has enabled giga-sized applications for more varieties of technology platforms, including the most popular mobile, IoT and wearable devices. EDA tools must respond to such a trend. On one side, accurately modeling nano-scale devices, including complex physical effects due to small geometry sizes and complicated device structures, has increased in importance and difficulties. Designers now demand more from foundries and have higher standards for PDK and model accuracies. They need to have a deep understanding of the process platform in order to  make their chip or IP competitive.

On the other side, giga-scale designs require accurate tools to handle increasing design size. The small supply voltage associated with technology advancement and low-power applications, and the impact of various process variation effects, have reduced available design margins. Furthermore, the big circuit size has made the design sensitive to small leakage current and small noise margin. Accuracy will soon become the bottleneck for giga-scale designs.

However, traditional design tools for big designs, such as FastSPICE for simulation and verification, mostly trade-off accuracy for capacity and performance. One particular example will be the need for accurate memory design, e.g., large instance memory characterization, or full-chip timing and power verification. Because embedded memory may occupy more than 50 percent of chip die area, it will have a significant impact on chip performance and power. For advanced designs, power or timing characterization and verification require much higher accuracy than what FastSPICE can offer –– 5 percent or less errors compared to golden SPICE.

To meet the giga-scale challenges outlined above, the next-generation circuit simulator must offer the high accuracy of a traditional SPICE simulator, and have similar capacity and performance advantages of a FastSPICE simulator. New entrants into the giga-scale SPICE simulation market readily handle the latest process technologies, such as 16/14nm FinFET, which adds further challenges to capacity and accuracy.

One giga-scale SPICE simulator can cover small and large block simulations, characterization, or full-chip verifications, with a pure SPICE engine that guarantees accuracy, and eliminates inconsistencies in the traditional design flow.  It can be used as the golden reference for FastSPICE applications, or directly replace FastSPICE for memory designs.

The giga-scale era in chip design is here and giga-scale SPICE simulators are commercially available to meet the need.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, today announced that worldwide sales of semiconductors reached $29.7 billion for the month of November 2014, an increase of 9.1 percent from the November 2013 total of $27.2 billion and a slight decrease of 0.1 percent from the October 2014 total. Year-to-date sales through November are 10 percent higher than they were at the same point in 2013. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

Global semiconductor sales through November have matched the total from all of 2013, assuring that the industry will achieve a new record for sales in 2014,” said Brian Toohey, president and CEO, Semiconductor Industry Association. “Demand remains strong across nearly all semiconductor product categories, and the Americas and Asia Pacific regional markets continue to post the most robust growth. Macroeconomic trends bode well for continued growth into 2015.”

Regionally, year-to-year sales increased in the Asia Pacific (12.3 percent), the Americas (11.1 percent), and Europe (3.4 percent), but decreased in Japan (-4.5 percent). Sales were up compared to the previous month in the Americas (1.8 percent), but decreased slightly in Asia Pacific (-0.2 percent), Europe (-0.7 percent), and Japan (-2.6 percent). Sales were higher across all regions through November than they were through the same point last year.

Worldwide semiconductor market revenue is on track to achieve a 9.4 percent expansion this year, with broad-based growth across multiple chip segments driving the best industry performance since 2010.

Global revenue in 2014 is expected to total $353.2 billion, up from $322.8 billion in 2013, according to a preliminary estimate from IHS Technology (NYSE: IHS). The nearly double-digit-percentage increase follows respectable growth of 6.4 percent in 2013, a decline of more than 2.0 percent in 2012 and a marginal increase of 1.0 percent in 2011. The performance in 2014 represents the highest rate of annual growth since the 33 percent boom of 2010.

“This is the healthiest the semiconductor business has been in many years, not only in light of the overall growth, but also because of the broad-based nature of the market expansion,” said Dale Ford, vice president and chief analyst at IHS Technology. “While the upswing in 2013 was almost entirely driven by growth in a few specific memory segments, the rise in 2014 is built on a widespread increase in demand for a variety of different types of chips. Because of this, nearly all semiconductor suppliers can enjoy good cheer as they enter the 2014 holiday season.”

More information on this topic can be found in the latest release of the Competitive Landscaping Tool from the Semiconductors & Components service at IHS.

Widespread growth

Of the 28 key sub-segments of the semiconductor market tracked by IHS, 22 are expected to expand in 2014. In contrast, only 12 sub-segments of the semiconductor industry grew in 2013.

Last year, the key drivers of the growth of the semiconductor market were dynamic random access memory (DRAM) and data flash memory. These two memory segments together grew by more than 30 percent while the rest of the market only expanded by 1.5 percent.

This year, the combined revenue for DRAM and data flash memory is projected to rise about 20 percent. However, growth in the rest of the market will swell by 6.7 percent to support the overall market increase of 9.4 percent.

In 2013, only eight semiconductor sub-segments grew by 5 percent or more and only three achieved double-digit growth. In 2014, over half of all the sub-segments—i.e., 15—will grow by more than 5 percent and eight markets will grow by double-digit percentages.

This pervasive growth is delivering general benefits to semiconductor suppliers, with 70 percent of chipmakers expected to enjoy revenue growth this year, up from 53 percent in 2013.

The figure below presents the growth of the DRAM and data flash segments compared to the rest of the semiconductor market in 2013 and 2014.

2014-12-18_Semi_Sectors_Growth

Semiconductor successes

The two market segments enjoying the strongest and most consistent growth in the last two years are DRAM and light-emitting diodes (LEDs). DRAM revenue will climb 33 percent for two years in a row in 2013 and 2014. This follows often strong declines in DRAM revenue in five of the last six years.

The LED market is expected to grow by more than 11 percent in 2014. This continues an unbroken period of growth for LED revenues stretching back at least 13 years.

Major turnarounds are occurring in the analog, discrete and microprocessor markets as they will swing from declines to strong growth in every sub-segment. Most segments will see their growth improve by more than 10 percent, compared to the declines experienced in 2013.

Furthermore, programmable logic device (PLD) and digital signal processor (DSP) application-specific integrated circuits (ASICs) will experience dramatic improvements in growth. PLD revenue in 2014 will grow by 10.2 percent compared to 2.1 percent in 2013, and DSP ASICs will rise by 3.8 percent compared to a 31.9 percent collapse in 2013.

Moving on up

Among the top 20 semiconductor suppliers, MediaTek and Avago Technologies attained the largest revenue growth and rise in the rankings in 2014. Both companies benefited from significant acquisitions.

MediaTek is expected to jump up five places to the 10th rank and become the first semiconductor company headquartered in Taiwan to break into the Top 10. Avago Technologies is projected to jump up eight positions in the rankings to No. 15.

The strongest growth by a semiconductor company based purely on organic revenue increase is expected to be achieved by SK Hynix, with projected growth of nearly 23 percent.

No. 13-ranked Infineon has announced its plan to acquire International Rectifier. If that acquisition is finalized in 2014 the combined companies would jump to No. 10 in the overall rankings and enjoy 16 percent combined growth.

The table below presents the preliminary IHS ranking of the world’s top 20 semiconductor suppliers in 2013 and 2014 based on revenue.

2014-12-18_Semi_Ranking_Final

Troubles for consumer electronics and Japan

Semiconductor revenue in 2014 will grow in five of the six major semiconductor application end markets, i.e. data processing, wired communications, wireless communications, automotive electronics and industrial electronics. The only market segment experiencing a decline will be consumer electronics. Revenue will expand by double-digit percentages in four of the six markets.

Japan continues to struggle, and is the only worldwide region that will see a decline in semiconductor revenues this year. The other three geographies—Asia-Pacific, the Americas and the Europe, Middle East and Africa (EMEA) region—will see healthy growth. The world will be led by led by Asia-Pacific which will post an expected revenue increase of 12.5 percent.

By Douglas G. Sutherland and David W. Price

Author’s Note: This is the fourth in a series of 10 installments that explore fundamental truths about process control—defect inspection and metrology—for the semiconductor industry. Each article introduces one of the 10 fundamental truths and highlights their implications. Within this article we will use the term inspection to imply either defect inspection or a parametric measurement such as film thickness or critical dimension (CD).

Nobody likes surprises—especially the managers of $10 billion factories. In a dynamic field like advanced semiconductor IC fabrication, there will always be unknowns. However, it is critical to know what you know and know what you don’t know. Every measurement has error. The quality of the decision you make is highly dependent on the uncertainty in the data used to make that decision.

Process control spending is discretionary. Fabs will invest to the point that they believe the return on investment is favorable. It may make financial sense to sample less, skip certain measurements, or use a less capable inspection/metrology tool. However, the fab must always face facts and quantify the level of risk associated with these decisions. The stakes—missing an excursion resulting in costly yield loss—are too high to live in denial.

The fourth fundamental truth of process control for the semiconductor IC industry is: 

Always quantify your lots at risk when making changes to your process control strategy

Quantifying your lots at risk equates to understanding the uncertainty in your measurement. This is a basic concept that most factory engineers learned at some point during their education, however, it is also one of the most tedious of tasks. As a result, this portion of the analysis is skipped more often than we care to admit.

Within process control there are really only two types of risk: Alpha risk and Beta risk. Alpha risk is a false alarm; it is when your inspection tells you that the wafer measured is out of control when really there is nothing wrong with the larger process. Beta risk is the opposite of this; it is when your inspection tells you that the wafer you measured was in control but really there is a serious problem. Figure 1 summarizes the difference.

KLAT_FIGURES-1

Figure 1. Definition of Alpha risk and Beta Risk

Alpha and Beta risk arise as a result of the inability to consistently make an inspection that accurately represents the process at that point in time. The best way to reduce both types of risk is to make the process itself less variable. There are few, if any, activities in semiconductor manufacturing that are more value-added than driving variability out of the process. It is much easier to spot real changes in the process when the native lot-to-lot variation is low. However, this cannot always be easily achieved and the Alpha risk (the number of false alarms) can sometimes only be reduced by moving the control limits further from the target (raising the upper control limit and / or lowering the lower control limit). Increasing the spread between the control limits will reduce the Alpha risk but it comes at the expense of increasing the Beta risk—it makes the inspection process less sensitive to real excursions.

Just as changing the native variability in the process usually warrants reassessing where to place the control limits, any time the characteristics of the measurement itself are changed (changing the sensitivity of the recipe, changing the area of the wafer that is inspected, changing the size of the review sample, etc.) the position of the control limits also needs to be re-evaluated.

As an example, consider a defect inspection step where 100 percent of the wafer area is inspected. For a particular defect of interest (DOI) the inspection finds between 40 and 60 DOI on each wafer under normal conditions and the upper control limit (UCL) is placed at 61. If the inspection strategy is changed such that further inspections will only sample 50 percent of the wafer area, the range of normal values will change from between 40 and 60 to between 12 and 42 for 50 percent area (or 24 and 84 when normalized back to the full wafer count). The increase in range is a result of the Binomial Probability Theory that quantifies the effect that sometimes there will be a disproportionate number of DOI in the area that was inspected and sometimes there will be a disproportionate number of DOI in the area that was not inspected.

With the stroke of a pen, the decision to reduce the wafer area to 50 percent has tripled the variability in this particular part of the process from a range of 20 to a range of 60 DOI per wafer. In doing so, they have undone months of hard work by a team of engineers who worked diligently to drive the variability out of the process in the first place. The fab manager must now choose to keep the UCL at 61 and suffer many more false alarms or raise the UCL to 85 where they will have approximately the same number of false alarms but be much less sensitive to real excursions.

The impact of changing the inspected wafer area depends on several factors including the average DOI, the native variation and the size of the excursion that one is trying to detect. Figure 2 shows how the percent error changes as a function of wafer area for three different DOI counts.

KLAT_FIGURES-2

Figure 2.  Percent Error versus Wafer Area for three different DOI counts.  At 100 percent area there is no error introduced into the measurement. As the area decreases, the error increases. The error is largest for low DOI counts and is bounded by -100% on the low side and unbounded on the high side.

We have chosen the example of wafer area to illustrate the point because it is such a common practice but the same principles apply to all aspects of process control. The measurement is part of the process― when you degrade the quality of the measurement you degrade the quality of the process.

There are many ways in which process control risk manifests itself in the fab. One simple approach is to get in the habit of asking the questions: “how many lots are at risk if I do this?” and, “what are the error bars on this analysis?”

For example, how many lots are at risk if the fab:

  • Skips an inspection step?
  • Uses a less sensitive inspector or pixel size?
  • Reduces the sampling rate?
  • Use a less precise metrology tool?
  • Measure fewer features per wafer?

Changing process control strategy to reduce costs may seem like a short term solution but it is seldom if ever sustainable for one very simple reason: fab managers don’t like surprises!

References:

1)     You Can’t Fix What You Can’t Find, Solid State Technology, July 2014

2)     Sampling Matters, Semiconductor Manufacturing and Design, September 2014

3)     The Most Expensive Defect, Solid State Technology, December 2014

About the authors:

Dr. David W. Price is a Senior Director at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 10 years, Dr. Price and Dr. Sutherland have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

Process Watch blog series: 

Process Watch: The 10 fundamental truths of process control for the semiconductor IC industry

Process Watch: Exploring the dark side

The Dangerous Disappearing Defect,” “Skewing the Defect Pareto,” “Bigger and Better Wafers,” “Taming the Overlay Beast,” “A Clean, Well-Lighted Reticle,” “Breaking Parametric Correlation,” “Cycle Time’s Paradoxical Relationship to Yield,” and “The Gleam of Well-Polished Sapphire.”

The semiconductor equipment and materials industry is currently enjoying a double-digit annual growth rate and good prospects looking forward to 2015.  However, there are huge challenges around the corner with the move from planar to FinFET transistors, with 193nm immersion lithography being pushed well below 14nm, and with an explosion of new materials to integrate, among others.

The SEMI International Technology Partners Conference (ITPC 2014) convened on 9-12 November on the bright and crystalline Kohala Coast of the Big Island of Hawaii.  Like our industry, all looked calm and peaceful – yet just around the corner, the Kilauea Volcano was violently reshaping the landscape with rivers of molten lava in the town of Pahoa.

Living in the shadow of an active volcano and the sometimes spectacularly disruptive process of building an island – or the nano-electronics manufacturing industry in our case – was picked up in this year’s ITPC theme:  New Structures for Innovation.  Wholly new concepts for collaboration and partnerships to address the challenges and to enable innovation were discussed formally in the conference, as well as informally in the many networking opportunities.

The program included keynote presentations by driving IC manufacturers:  Intel, SMIC, SK Hynix, TSMC, and Micron to set the stage for the rest of the program by hitting the key issues:

  • Delivering density scaling benefits in an era of increased capital intensity and materials complexity (Intel and SMIC)
  • Trends in semiconductor development following changes in the mobile market (SK Hynix)
  • Limits of lithography beyond the 10nm node (TSMC)
  • Collaboration for innovation (Micron)

Each of these keynote presentations neatly distilled the related challenges and opportunities and provided richly provocative observations on what is needed to keep innovation as the fundamental enabler.

Beyond the exceptional insights and depth of these presentations, a few “fun facts” were captured below.

  • Intel’s pursuit of 450mmm has had a positive impact on 300mm productivity (Bob Bruck, Intel)
  • China’s overall two highest revenue imports are oil and ICs  (Tzu-Yin Chiu, SMIC)
  • To succeed in today’s IC manufacturing world there needs to be system-level and process-level partnership and collaboration across the extended supply chain  (Sungwook Park, SK Hynix)
  • Of the Fortune 500 companies from 30 years ago, only 15% remain today.  Large companies are often too slow to react to change (Mark Adams, Micron)
  • Facebook and Google are now among the top six server manufacturers in the world (Mark Adams, Micron)

The conference continued with an industry and market outlook segment with special attention to IoT, electric vehicles, and nanoelectronics “connecting lives to improving lives.”  This included some amazing video clips of Nissan’s autonomous driving electric vehicles in Japan traffic, and imec’s intense visualizations of next generation nano-bio applications.

Among the best appreciated sections, was the segment on new industry structure that featured speakers and panelists from Google (David Peterson), Robert Metcalfe (University of Texas), Dan Solomon (Solomon Consulting), and AlixPartners (Dan Fisher). David Peterson brought a perspective from outside of our industry which is useful to test ideas and refresh approaches. He asked the audience to start with the most difficult ideas: make the tough choices, ask the questions that no one else will, and nurture a vibrant, distinctive culture. On making the tough choice, he was specific – and it is indeed tough, “sub-optimize current performance to invest in future performance:  innovations, R&D, learning, leadership development, building an adaptable organization, experimenting with ideas and projects that may not succeed. This segment was capped by Shozo Saito (Toshiba) providing an overview on the connections of new market and industry structure by device platform development.

The final segment focused on technology with Frits van Hout of ASML presenting the EUVL transition from R&D to industrialization. Following this a panel, moderated by Dan Hutcheson of VLSI Research, focused on frontiers of technology with panelists Paul Boudre of Soitec, David Hemker of Lam Research, Michael Liehr of CNSE, and Omkaram Nalamasu of Applied Materials.

It was a fascinating conference that both discussed the need and models for new collaboration and partnerships – and brought our industry’s thought leaders together to have opportunities to find these connections during the conference.

A few more interesting “fun facts” “fun bits” from the conference:

  • China plans to spend $100B to build a China-local IC industry that will supply up to 40% of China’s IC consumption.
  • The era of planar technology is coming to an end – and this precipitates great changes.
  • There is virtually no viable small company R&D engine model remaining in ICs and semiconductor equipment.  The model for innovation in our industry has significantly changed in the last five years.
  • Collaborations and partnerships are more essential now than ever before for developing innovation.
  • To build trust in developing partnerships, potential partners should work together and take many small risks together quickly.
  • Among the top innovations in our industry is Moore’s law and inventing SEMI – this is one of the big successes in collaboration and co-opetition.
  • A twelve week cycle from tape-out to finished wafer is too long.  This must change to keep pace with product development innovation.
  • The semiconductor industry should quickly work to define standards/platforms for IOT to ensure the pace of growth and chip consumption
  • A favorite slide was from Google that reminded the audience that to win, we have to view any customer problem as our problem:

ITPC

To participate in other strategic events, consider the SEMI Industry Strategy Symposium U.S. 2015 in January or SEMI Industry Strategy Symposium Europe 2015 in February.

The Global Semiconductor Alliance (GSA) announced the recipients honored at the 2014 GSA Awards Dinner Celebration that took place in Santa Clara, California. The commemorative event celebrated GSA’s 20th year anniversary. Over the past 20 years, the awards program has recognized the achievements of semiconductor companies in several categories ranging from outstanding leadership to financial accomplishments, as well as overall respect within the industry.

This year, in recognition of GSA’s 20 years of global collaboration, there was a special presentation honoring past Dr. Morris Chang Exemplary Leadership Award recipients, GSA’s most prestigious award.

GSA members identified the Most Respected Public Semiconductor Company Award winners by casting ballots for the industry’s most respected companies for its products, vision and future opportunities. Winners include the “Most Respected Emerging Public Semiconductor Company Achieving $100 million to $250 million in annual sales Award” presented to Ambarella, Inc.; “Most Respected Public Semiconductor Company achieving $251 million to $1 billion in annual sales Award” awarded to InvenSense, Inc.; and “Most Respected Public Semiconductor Company achieving greater than $1 billion in annual sales Award” received by QUALCOMM Incorporated.

The “Most Respected Private Company Award” was voted on by GSA membership and presented to Spreadtrum Communications Inc. Other winners include “Best Financially Managed Company achieving up to $500 Million in annual sales Award” presented to Montage Technology and “Best Financially Managed Semiconductor Company achieving greater than $500 million in annual sales Award” earned by Skyworks Solutions, Inc. Both companies were recognized based on their continued demonstration of the best overall financial performance based on specific financial metrics.

GSA’s Private Awards Committee, made up of members of the Emerging Company CEO Council, venture capitalists and select industry entrepreneurs, chose the “Start-Up to Watch Award” winner by identifying a company that has demonstrated the potential to positively change its market or the industry through the innovative use of semiconductor technology or a new application for semiconductor technology. This year’s winner is Ineda Systems, Inc.

As a global organization, the GSA recognizes companies headquartered in the Europe/Middle East/Africa and Asia-Pacific regions. Award winners are chosen by the leadership council of each respective region and are semiconductor companies that demonstrate the most strength when measuring products, vision, leadership and success in the marketplace. The recipient of this year’s “Outstanding Asia-Pacific Semiconductor Company Award” is MediaTek Inc. and “Outstanding EMEA Semiconductor Company Award” is Infineon Technologies AG.

Semiconductor financial analyst Rajvindra Gill from Needham & Company presented this year’s “Favorite Analyst Semiconductor Company Award.” The criteria used in selecting this year’s winner included historical as well as projected data such as per cent stock and revenue increase, net profit margin, revenue forecasts, and product performance. Needham & Company presented to Synaptics, Inc.

The Awards Dinner Celebration was made possible by title sponsor TSMC, VIP and networking reception sponsor Optimal+, as well as general sponsors Advantest, Alix Partners, Altera, AMD, Amkor, ARM, ASE Group, Bank of America Merrill Lynch, Broadcom, Cadence Design Systems, CSR, eSilicon, GLOBALFOUNDRIES, IBM, Jefferies Group LLC, J.P. Morgan, KPMG, Marvell, MediaTek, Mentor Graphics, Micron, Microsemi, Model N, Morgan Stanley, Needham & Co., NVIDIA, Open-Silicon, QUALCOMM, Qorvo (RFMD + TriQuint), QuickLogic, Rambus, Samsung, SanDisk, Silicon Labs, SMIC, Synopsys, UMC, VeriSilicon and Wells Fargo.