Category Archives: Metrology

BY JAMIE GIRARD, senior director, Public Policy, SEMI North America 

With changes coming in Washington, SEMI has important work ahead supporting the innovators and job creators of this country. Advancing the goals of its members, SEMI advocates legislation in congress, targeting passage of the Commerce, Justice and Science Appropriations Act, increases to NSF and NIST funding and changes to R&D tax credits.

As mid-term election season heats up throughout the country, lawmakers’ minds have begun the biennial shift back toward the electorate and the promise of a frenetic sprint to November.  However, there remains important work to be done in Washington which stands to have a significant impact on the SEM industries.  On December 10, 2013, Congress passed the Bipartisan Budget Act, a two-year deal which laid out the broad parameters for appropriators to build from in formulating fiscal year 2015 spending bills.  Congress is now rushing to pass the 12 separate appropriations bills under regular order.

Last week, the House passed the FY 2015 Commerce, Justice, and Science Appropriations Act—the funding legislation with direct implications for many SEMI members. The bill includes $7.4 billion in funding for the National Science Foundation (NSF), representing a 3.3 percent increase over the FY 2014 level.  This funding supports programs that foster innovation and U.S. economic competitiveness, including funding for research on advanced manufacturing, cybersecurity, and STEM education.  Additionally, the bill includes $856 million for the National Institute of Standards and Technology (NIST), approximately a one percent increase from the previous year. NIST’s laboratories, research and standards development, and manufacturing services have proven crucial to boosting American innovation and competitiveness.  The Senate is expected to consider the CJS bill in June and we are hopeful that these levels will be realized in the final version of the bill.

Another important item moving through the legislature is the R&D tax credit.  On April 29th, the House passed a permanent R&D tax extension without offsets. The R&D credit expired at the end of last year along with more than 50 other tax breaks but the $156 billion House bill extended just the R&D tax provision.  This is a novel approach as the R&D credit has been extended on a short-term basis for nearly 30 years.  The Senate is taking a different approach to tax policy, considering shorter extensions through a legislative vehicle called the Expiring Provisions Improvement Reform and Efficiency (EXPIRE) Act, which passed Committee in early April.  SEMI has supported the implementation of a retroactive R&D tax credit in Washington throughout the year and continues to advocate for immediate action on this legislation to reduce business uncertainty for our members.

It is imperative Congress secure passage of an appropriations bill for Science-related items, as well as a renewal of the R&D tax credit, before the election season hits full swing. While both issues could be considered in a lame duck session of Congress, the murky period following mid-term elections has provided few safe bets in years past.  These provisions will help direct structural benefits to some of the most innovative companies in the country – and our best job creators. For that, SEMI will continue to work to advance these goals in Washington. If you have questions about SEMI government affairs activities, please contact Taylor Sholler, manager of U.S. Public Policy, at [email protected].

SEMI announced that it has successfully appealed to the U.S. government to review the validity of current export controls on semiconductor etch equipment.  On September 8, 2014, the U.S. Department of Commerce published a notice in the Federal Register announcing the launch of the first Foreign Availability Assessment in more than 20 years. The assessment is in response to SEMI’s formal petition asserting that etch equipment comparable to the controlled specification is available from Chinese sources.

“SEMI is pleased to have facilitated a constructive dialog with international industry participants and the U.S. government in order to pursue updated and appropriate levels of export control,” said Jonathan Davis, SEMI global vice president of advocacy.  “The decontrol of semiconductor etch technology is a necessary recognition of modern commercial realities and will contribute to a level field of competition.”

Anistropic plasma dry etching equipment designed or optimized to produce critical dimensions of 65nm or less within specific uniformity capabilities is controlled by the Department of Commerce for national security reasons.  Considered a “dual-use” technology, advanced etch equipment can be used for both civil and military applications.  While the technology can be used to produce devices for military application, the vast majority of commercial etch technology is used by semiconductor manufacturers that produce integrated circuits (ICs) and other devices for common computing, communication and consumer electronics products.

“Compliance with licensing protocols can be time-consuming and expensive,” said Davis.  SEMI members’ global customers potentially view delays and unpredictability associated with licensing processes as a negative factor in purchasing decisions.”

Proving the existence of an indigenous manufacturing capability or source would render U.S. controls baseless and allow U.S. producers more equal access to foreign markets.  The process of invalidating a controlled item due to foreign availability is defined in the Export Administration Act of 1979 and amended Export Administration Regulations (EAR). The Bureau of Industry and Security (BIS) is tasked with conducting the assessment and providing a report to the Secretary of Commerce.  Having found sufficient merit in the SEMI claim, BIS is initiating the assessment and soliciting public comments.

The Commerce Department’s Bureau of Industry and Security (BIS) has 120 days after the formal notice to complete a review of foreign production capacity within China and deliver a report to the Secretary detailing their findings.

The full Federal Register notice is available for review here: https://federalregister.gov/a/2014-21211

SEMI is the global industry association serving the nano- and micro-electronic manufacturing supply chains. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C.

While gas mixtures for laser sources are the most obvious gas-related processes in lithography, there are also applications for nitrogen, helium, carbon dioxide and hydrogen. 

BY KLAUS HEGE, Linde Electronics, Munich, Germany 

Lithography is a key enabling process with very demanding requirements. Shrinking feature sizes will raise the bar even further. These increasing requirements on the process side will lead to increasing quality requirements for materials. This article provides an overview of existing gas applications in lithography and implications for the future.

Photolithography is a key enabling and very critical process during semiconductor chip manufacturing. It always occurs at the beginning, and any defect occurring during the lithography process impacts the quality of subsequent process steps.

Smaller feature sizes require a better optical resolution. As the resolution depends on the wavelength of the light, illuminating systems with increasingly smaller wavelengths had to be developed. The current lowest available wavelengths for high-volume manufacturing are193nm and 248nm and are used at the most critical layers.

Excimer lasers

The light sources used to produce the desired wavelengths are excimer gas lasers and are fed with gas mixtures containing halogens and noble gases. Krypton-Fluorine excimer lasers emit light with 248nm, while Argon-Fluorine lasers generate photons at 193nm. Both belong to the Deep Ultra- violet part of the spectrum and are therefore called DUV lasers (in contrast to EUV, which is Extreme Ultraviolet light).

High-precision starting materials

As the requirements on the precision of the lithography process are getting higher, equally precise quality control of the laser gas source material is mandatory.

Meeting the stringent requirements for mixture accuracy and gas purity are crucial for a high- quality light generation process. Gas contaminations as well as non-precise gas mixtures affect critical laser parameter like power output, target wavelengths, and lifetime.

Other gas applications within lithography

While gas mixtures for laser sources are probably the most obvious gas-related processes in lithog- raphy, there are a couple of well-established and a few rather new gas applications:

  • Nitrogen for general pumping
  • Helium for heat transfer (cooling
  • Carbon dioxide for laser gas and for latest-generation, defect-free illumination
  • Hydrogen as a cleaning and shielding gas for EUV lithography

Nitrogen for general purging

Nitrogen is the most commonly used inert gas. The purity requirements of the lithography application are very demanding, requiring multiple-step purification.

Nitrogen is supplied to semiconductor fabs by on-site generation or in cluster parks by pipeline. On-site plants use cryogenic distillation to take nitrogen from the air, which contains 78%, and purify it to 99.999%. Gas companies have standard plants available for up to 50,000 m3/h capacity. Some plant designs enable purity of 99.9999%, which saves equipment and power costs for additional purifiers.

Helium for cooling

Helium is used for cooling optical lenses in lithography. As the helium content in air is very small (0.0005%), it is not economical to extract it from the air. Instead, Helium is extracted from natural gas sources. Having access to several sources spread out globally can enable a secure supply.

As Helium is a limited resource, some industrial gas companies have developed solutions for Helium recovery, which makes possible the re-use of this scarce material.

Carbon dioxide for immersion and EUV lithography

Carbon dioxide has had many applications in the industrial gas industry, but its use in lithography is fairly recent.

In state-of-the-art immersion tools, CO2 substitutes some CDA (clean dry air) to prevent the “big bubble effect.” CDA has been used to shield the immersion hood against ambient air, but can form bubbles in the waters, which can potentially deflect the light beam and then cause defects in return. This effect gets more pronounced for smaller nodes for two reasons:

  • Smaller features are more affected by even small deviations of the light beam. 
  • The increasing use of multi-patterning leads to to higher throughput requirements, which then leads to a faster scan speed with the increasing risk of entrapping more CDA bubbles.

CO2, with its superior chemical/physical properties (compared to CDA), does not form big bubbles. As CO2 is applied at a critical spot (at the wafer/photoresist/lens interface), there are very stringent gas quality requirements.

 

For EUV, CO2 is used as a laser gas. The new source architecture is changing the light gener- ation concept, switching from a direct light source (excimer lasers) to an indirect light generation (a CO2 laser beam hitting a tin droplet, leading to the generation of EUV light).

While these two applications require the same molecule (CO2), the application and purity requirements are totally different. Having several different types of sources (ranging from natural wells over industrial production to biochemical routes) is challenging, as all these sources have unique types of contaminations. Gas companies can supply CO2 as ultra-high purity gas (99.9997%) or as food grade, which is then purified on-site.

As the CO2 production and purification is mostly designed to meet the requirements of the beverage and food industry, this does not automatically guarantee that a specific source is equally suited for semiconductor applications.

A tight quality control is therefore crucial, not only verifying food criteria, but also meeting the needs of the semiconductor industry. As an alternative to food quality, higher grades are available like 6N (= 99.9999%) and better.

Hydrogen as a cleaning and shielding gas

The development of EUV as a next generation lithography technology is proceeding. The small wavelength of 13.5nm will enable customers to process wafers for 10nm, 7nm, and smaller nodes.

EUV uses a different light source architecture, involving liquid tin droplets. These tin droplets can cause tin depositions on the reflecting optics, leading to a reduction in light power. To prevent this, Hydrogen is used to form volatile tin compounds, which can be pumped away, preventing a reduction in the amount of photons available for illumination. Compared to other gases like Nitrogen, Hydrogen has a low absor- bance for EUV light, making it the gas of choice wherever a EUV light beam is passing through a chamber.

GLOBALFOUNDRIES, a provider of advanced semiconductor manufacturing technology, announced today that Louis “Lou” Lupin has joined the company as senior vice president and chief legal officer. In this role, Lupin is responsible for the company’s global legal affairs including litigation, intellectual property and corporate matters and will oversee all compliance programs. Lupin has also assumed the role as secretary to the GLOBALFOUNDRIES board of directors.

Lupin succeeds Alexie Lee, who had served as GLOBALFOUNDRIES’ general counsel since the inception of the company and who has recently taken on the role of chief of staff to the CEO.

“Lou is an accomplished and respected corporate general counsel with nearly 30 years of experience in litigation, intellectual property licensing, strategic relationships and portfolio management,” said Sanjay Jha, CEO, GLOBALFOUNDRIES. “Moreover, he has a keen understanding of technology, which is critical to our success. We are excited to have Lou join the GLOBALFOUNDRIES leadership team.”

Before joining GLOBALFOUNDRIES, Lupin was a legal consultant to Qualcomm Incorporated since 2008. Prior to that, he served as Qualcomm’s executive vice president, general counsel, and assistant secretary to the board, and as a member of the executive committee. He also sits on the advisory boards of a number of public and private companies.

Previous to Qualcomm, Lupin was a partner with Cooley, Godward, Castro, Huddleson and Tatum (now Cooley LLP) where he focused on intellectual property litigation in the telecommunications, software and biotechnology industries. He also served as a special agent for the U.S. Department of Justice, Drug Enforcement Administration and a border patrol agent for the U.S. Department of Justice, Immigration and Naturalization Service.

Lupin holds a bachelor’s degree from Swarthmore College and a J.D. from Stanford Law School.

The TECHCON 2014 conference hosted by Semiconductor Research Corporation (SRC), the university-research consortium for semiconductors and related technologies, will feature immediate past GLOBALFOUNDRIES CEO Ajit Manocha among the list of executive speakers at the Sept. 7-9 annual event.

Manocha, a strategic advisor to GLOBALFOUNDRIES following his retirement from CEO, will serve as keynote speaker during TECHCON’s Sept. 8 banquet, while Nido Qubein, President of High Point University, will open the conference the morning of Sept. 8. Additionally, David Berz, Global Learning Leader at LinkedIn, will address the conference at a Sept. 7 evening session.

Hosted in Austin, Texas, SRC’s annual TECHCON conference features next-generation research progress among hundreds of university students, faculty and industry experts.

“TECHCON brings together the brightest minds in microelectronics research to exchange news about the progress of new materials and processes created by SRC’s network of more than 100 of the top engineering universities,” said SRC President Larry Sumney. “Students and industry leaders discuss basic research at TECHCON that is intended to accelerate advancements for both private and public entities.”

Manocha is a veteran semiconductor industry executive with more than 30 years of global expertise in manufacturing operations, general management and semiconductor technology. His keynote will discuss the technical and economic challenges facing the semiconductor industry, and how the industry has an opportunity to evolve its foundry model to enable innovation required to drive technology forward and also offer a practical framework for controlling manufacturing costs.

Qubein, chairman of Great Harvest Bread Company with 220 stores in 43 states, is president of High Point University in North Carolina that educates 4,300 undergraduate and graduate students from 40 countries. His address will cover communicating and connecting in a technical environment, helping SRC support its members in building the overall effectiveness through human capital and cultivating SRC students.

Berz leads a team of learning instructional designers at LinkedIn whose key focus is to create web and e-learning experiences for the global LinkedIn enterprise. His session will help attendees define the elements of building their professional LinkedIn profile and using LinkedIn effectively for a technical career.

More than 11,000 students have been prepared by SRC programs, professors and mentors for entry into the semiconductor business. These students provide a path for technology transfer and a source of relevantly educated technical talent for the industry.

North America-based manufacturers of semiconductor equipment posted $1.41 billion in orders worldwide in July 2014 (three-month average basis) and a book-to-bill ratio of 1.07, according to the July EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.07 means that $107 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in July 2014 was $1.41 billion. The bookings figure is 2.8  percent lower than the final June 2014 level of $1.46 billion, and is 17.1 percent higher than the July 2013 order level of $1.21 billion.

The three-month average of worldwide billings in July 2014 was $1.32 billion. The billings figure is 0.7 percent lower than the final June 2014 level of $1.33 billion, and is 9.4 percent higher than the July 2013 billings level of $1.20 billion.

“Order activity for semiconductor equipment has held at a steady level so far for 2014,” said Denny McGuirk, president and CEO of SEMI. “This trend, along with improvements in semiconductor device sales and unit shipments, is consistent with our outlook for strong equipment sales growth this year.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

February 2014

1,288.3

1,295.4

1.01

March 2014

1,225.5

1,297.7

1.06

April 2014

1,403.2

1,443.0

1.03

May 2014

1,407.8

1,407.0

1.00

June 2014 (final)

1,327.5

1,455.0

1.10

July 2014 (prelim)

1,317.6

1,413.7

1.07

Source: SEMI, August 2014

The semiconductor industry in India is estimated to grow from $10.02 billion in 2013 to $52.58 billion in 2020 at CAGR of 26.72%, according to Research and Markets new report the “Semiconductor Market in India 2014 – 2020.”

India has a very large industry base of electronics items, but there is little manufacturing base for semiconductors. As of now India doesn’t have any operational wafer fabrication plants and depends extensively on the imports. Currently, the semiconductor industry is 100% import based with India importing semiconductors worth $10 billion in 2013. Since In 2013, India spent $169 billion on oil imports, $54 billion on gold imports and $31.5 billion on electronic imports.

Semiconductors are used extensively in various applications, which offer immense potential for the growth of this industry in India. Semiconductors are used majorly in Mobile Devices, Telecommunications, Information Technology & Office Automation (IT & OA), Industrial, Automotive and other industries (Aerospace, Defense and Medical industries).

Mobile devices are expected to grow at CAGR of 33.4% from 2013 to 2020, according to this research report,. The contribution to semiconductor revenue is expected to grow from 35.4% in 2013 to 50.7% in 2020.

Telecommunication segment is expected to grow at CAGR of 26.8% from 2013 to 2020 and its contribution to total revenue will remain the same at 19.7% in 2020.

IT&OA contribution to the total semiconductor revenue will come down from 28.3% in 2013 to 17.4% in 2020 due to consolidation in this sector. This segment will grow at CAGR of 18.2% over the next seven years.

The consumer electronics segment is expected to grow at CAGR of 18.8% and the contribution to the total semiconductor revenue will come down from the current level of 5.6% in 2013 to 3.5% in 2020. Industrial electronics segment is expected to grow at CAGR of 19.6% and the contribution to the total semiconductor revenue will come down from current level of 4% to 2.7%.

Automotive electronics segment is expected to grow faster at CAGR of 30.5% from 2013 to 2020; its revenue contribution will increase from 3.2% in 2013 to 3.9% in 2020.

This study looks at the current state of the semiconductor industry in terms of products and service offerings and their growth over the last few years. The growth drivers and inhibitors of the industry are also examined in detail. The value chain of the industry in India has also been identified and mapped out. Based on all these factors, the report makes projections for the market size in 2020 in terms of products, services, application domains and overall market potential.

In addition to this, the report contains profiles of and inputs from several key companies operational in this sector. 24 companies have been extensively profiled in this report, to cover the entirety of the value chain.

Read more: Global semiconductor industry on pace for record sales through first half of 2014

Worldwide silicon wafer area shipments increased during the second quarter 2014 when compared to first quarter area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,587 million square inches during the most recent quarter, a 9.5 percent increase from the 2,363 million square inches shipped during the previous quarter. New quarterly total area shipments are 8.2 percent higher than second quarter 2013 shipments.

“For two consecutive quarters, strong silicon shipment growth has been recorded by the Silicon Manufacturers Group,” said Hiroshi Sumiya, chairman of SEMI SMG and general manager of the Corporate Planning Department of Shin-Etsu Handotai Co., Ltd. “Silicon wafer shipments reached an all-time high in the second quarter, surpassing the previous peak of 2,489 million square inches shipped in the third quarter of 2010.”

Quarterly Silicon Area Shipment Trends

Millions Square Inches

Q2 2013

Q1 2014

Q2 2014

Total

2,390

2,363

2,587

Semiconductor Silicon Shipments* – Millions of Square Inches

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

SEMATECH and the newly merged SUNY College of Nanoscale Science and Engineering (CNSE) / SUNY Institute of Technology (SUNYIT) announced today they have launched their joint Patterning Center of Excellence. The new Center will leverage the CNSE/SUNYIT lithography infrastructure which includes state-of-the-art film deposition and etch capability, leading-edge patterning systems and SEMATECH’s Resist Materials Development Center’s (RMDC) EUV imaging capabilities.

The Patterning Center of Excellence (CoE) will enable lithography equipment and lithographic materials manufacturing companies access to a vertically integrated semiconductor processing facility. The new Center aims to reduce the tangible and intangible costs of developing critical lithography materials for individual semiconductor companies.  CNSE has continued to build capability, enabling technological excellence as represented by the Center for Semiconductor Research (CSR), a leading-edge research center valued at more than $1 billion established at CNSE in May 2005; the Global 450 Consortium (G450C), which is focused on building the 450mm wafer and equipment development environment; and by CNSE’s membership in SEMATECH.

“Building on SEMATECH’s recent achievements in mask blank and resist, the new Patterning Center will provide the critical capabilities that will continue to produce the results that our members and the industry need to show that EUV lithography is manufacturable,” said Michael Lercel Senior Director and Chief Technologist at SEMATECH. “Furthermore, the new Center will provide an excellent platform for advancing cost-effective semiconductor materials and process solutions needed to enable EUV and emerging patterning technologies.”

“The new Patterning Center further builds on the world-class capabilities enabled by the SEMATECH-CNSE/SUNYIT partnership to support the commercialization of EUVL technologies,” said Dr. Michael Liehr, Executive Vice President of Innovation and Technology of the newly merged CNSE/SUNYIT. “New York State continues to chart a pioneering path for the semiconductor industry under the leadership of Governor Andrew Cuomo, and we are delighted to support the advanced technology needs of our global corporate partners and the industry.”

Advances in lithographic patterning critically depend on the timely availability of enabling resists and materials. The new center, a vital component that builds on SEMATECH’s mask blank and novel imaging efforts, will enable companies to assess their materials, test new tooling, and validate designs for the manufacturing EUVL and other next-generation technologies through access to the newly merged CNSE/SUNYIT’s advanced fabrication facilities.

“The challenges for advanced lithography are developing resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications,” said Kevin Cummings, SEMATECH’s Director of Lithography. “These processes will not be available in time without intervention, and the Patterning Center is the place where the industry’s the most advanced technologists can come together and partner to commercialize extreme ultraviolet (EUV) lithography and other technologies for the manufacturing of future nanoelectronics devices.”

“The industry is at a crossroads,” said Warren Montgomery, Assistant Vice President of Advanced Technology and Business Development at the newly merged CNSE/SUNYIT. “The high cost of R&D has made it very difficult to do the research and development needed to continue the drive to smaller and smaller features sizes. The creation of collaborative ‘centers’ like the Centers of Excellence at CNSE and this newly created Patterning Center, being created by CNSE and SEMATECH, will enable R&D to continue while keeping the economics reasonable.”

“SEMATECH remains committed to finding cost-effective solutions through its connections with a broad base of member company engineers, suppliers, and academic researchers to ensure the affordable evolution of emerging lithography technologies,” said Edward Barth Director of Strategic Growth Initiatives at SEMATECH. “Building on SEMATECH’s latest development efforts in mask and novel imaging, the new Center will provide an excellent platform for advancing cost-effective semiconductor materials and process solutions for future nanoelectronics devices.”

Over the past decade, SEMATECH has enabled fast cycle time of resist and materials development by providing the industry access to successive generations of small field exposure tools. In addition, SEMATECH’s projects have succeeded in measuring the outgassing characteristics in hundreds of EUV resists and materials formulations, and delivering thousands of EUV exposure shifts to member companies that have enabled tens of thousands of materials formulations to be evaluated.

CNSE/SUNYIT has, over the past decade, enabled advanced 193nm resist and materials development, etch characterization, defect characterization and integrated process flow demonstration to its partner ecosystem.

SEMATECH and CNSE/SUNYIT’s new Patterning Center is taking the collaboration with CNSE/SUNY IT and its global ecosystem and research network one step further by enabling them to share the costs for advancing resist and materials and process development to support the critical needs of industry.

Taiwan is forecast to have the largest regional semiconductor manufacturing equipment and materials capital expenditures in both 2014 and 2015. Next month, SEMICON Taiwan 2014, the premier microelectronics event in Taiwan, will bring this investment energy into focus on September 3-5 at the Taipei World Trade Center Nangang Exhibition Hall. SEMICON Taiwan (in its 19th year), is expected to be the largest ever, with an anticipated 1,340 booths and reach over 40,000 attendees and exhibitors. Supporting the industry and exhibition growth, SEMICON Taiwan 2014 will offer 21 business and technology sessions featuring more than 120 speakers addressing topics that include 3D IC to new memory devices, advanced packaging, MEMS, sustainability and more.

Attracting the world’s leading technology companies from design throughout the entire manufacturing supply chain, the event brings together industry leaders and innovators from around the world. Exhibition pavilions include: Precision Machinery, Secondary Markets, Sustainable Manufacturing, High-Tech Facilities, SICA, CMP, Job Fair, and also region-specific pavilions for Korea, Holland, and Europe.  SEMICON Taiwan 2014 presents a program lineup driven by the anticipated growth of System in Package (SiP), sustainability efforts, the Internet of Things (IoT) and innovation shaping the future of design and manufacturing for semiconductors, nanoelectronics, MEMS, and other related advanced electronics.

 

  • Supplier Search Program: Supplier Search Program is a value-added service provided by SEMI to facilitate more information exchange between SEMI members,Taiwan, and global Device Makers and OSAT. Learn more about procurement needs and current/future focus in tool selections, parts and components
  • Market Trends Forum: A focus on the semiconductor market outlook with speakers from Barclays Capital Securities Taiwan, Gartner, IC Insights, Morgan Stanley, SEMI, TechSearch International, and TSMC.
  • Executive Summit: Speakers from ASE, Cadence, imec, Inotera, and TSMC who will share their perspective of “What’s Next.”
  • SiP Global Summit 2014: Sessions on 3D IC Technology and Embedded Technology are featured; industry leaders will share their views on product application and development, technology strategy, cost effectiveness, repositioning the value chain and more.
  • High-Tech Facility International Forum: Features two keynotes, ten presentations, and panels  on topics including next-generation cleanroom design, nano-contamination control, facility information modeling and other crucial technologies affecting facility development.
  • Memory Summit: With presentations and panelists from ChipMOS Technologies, IEK, Inotera, ITRI, Lam Research, Micron, MXIC, and Walton Advanced Engineering, who will review and discuss technology trends and success strategies.

SEMICON Taiwan 2014 is strongly supported by companies like ASE, Spil, UMC, TSMC, in terms of speakers, forum topics, and visitors. All SEMICON Taiwan forums are under the advisory of Taiwan Regional Advisory Board and 15 technical and business committees led by Taiwan device makers and OSATs. SEMICON Taiwan is the platform to connect you and your most important customers in Taiwan and Asia.

To learn more or register for SEMICON Taiwan 2014, visit www.semicontaiwan.org.