Category Archives: Metrology

Worldwide silicon wafer revenues declined by 13 percent in 2013 compared to 2012 according to the SEMI Silicon Manufacturers Group (SMG) in its year-end analysis of the silicon wafer industry. Worldwide silicon wafer area shipments increased 0.4 percent in 2013 when compared to 2012 area shipments.

Silicon wafer area shipments in 2013 totaled 9,067 million square inches (MSI), slightly up from the 9,031 million square inches shipped during 2012. Revenues totaled $7.5 billion down from $8.7 billion posted in 2012. “Annual semiconductor silicon shipment levels have remained essentially flat for the past three years,” said Hiroshi Sumiya, chairman of SEMI SMG and general manager of the Corporate Planning Department of Shin-Etsu Handotai Co., Ltd. ”However, industry revenues have declined significantly for the past two years.”

Annual Silicon* Industry Trends

  2008 2009 2010 2011 2012 2013
Area Shipments (MSI) 8,137 6,707 9,370 9,043 9,031 9,067
Revenues ($B) 11.4 6.7 9.7 9.9 8.7 7.5

*Shipments are for semiconductor applications only and do not include solar applications

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers, epitaxial silicon wafers, and non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

The Silicon Manufacturers Group acts as an independent special interest group within the SEMI structure and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi, etc.). The purpose of the group is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics about the silicon industry and the semiconductor market.

By Dr. Phil Garrou, Contributing Editor

ibm photo

 

 

 

 

The Financial Times (FT) is reporting that IBM Corp is exploring the sale of its semiconductor business and has hired Goldman Sachs to find potential buyers. [link] The FT report continues that another financial option may be to find a partner for a JV to jointly run its semiconductor business.

FT projects that the most likely buyers would be Global Foundries or TSMC  since it is likely that these two foundry giants along with Samsung and Intel will be the only players left in advanced chip manufacturing as the cost of 20nm and lower fabs now exceeds $6B.

This should not come as a shock to readers of SST’s IFTLE blog (Insights From the Leading Edge) which reported early rumors of such a sale back in the summer of 2010. [ see IFTLE 8 “3D Infrastructure Announcements and Rumors” July 2010]

While the semiconductor business has become an increasingly less important part of IBM’s operations in recent years as it has expanded in IT software and services, any sale or joint venture would surely have to ensure that IBM still had a guaranteed supply of the advanced chips required for its mainframe and high end server businesses.

GlobalFoundries is the most likely candidate for sale or JV since they are a member of the IBM common platform, have been working with IBM for over a decade [link] and have placed their latest fab (Fab 8) in IBMs back yard in upstate NY [link] .

This report comes two weeks after the announcement that, pending government approval, IBM will sell its low-end server business for $2.3 billion to Chinese PC maker Lenovo.  Some may recall that  a  decade ago Lenovo bought IBM’s ThinkPad PC business for $1.75B [link].

This low end server decision was likely driven by the trend for many major corporations to move their IT requirements to “the cloud” with companies such as Amazon web services. With customers having more choices for handling their IT, they will be reluctant to get locked into client-server service contracts with IBM.

In fact IBM has just announced [link] plans to commit  over $1.2B to significantly expand its global cloud footprint. IBM plans to deliver cloud services from 40 data centers worldwide in 15 countries and five continents globally, including North America, South America, Europe, Asia and Australia.  IBM will open 15 new centers worldwide adding to the existing global footprint of 13 global data centers from SoftLayer, which it acquired in July of 2013, and 12 from IBM.

Rich Rogoff, Lithography Systems Group, Rudolph Technologies, Inc., Wilmington, MA

Moving from round wafers to rectangular panels saves corner space, delivering a roughly 10% improvement in surface utilization.

We’ve been doing it for years‒square die on round wafers. As the old adage suggests, we can make it work, but it is not always pretty. Now, as advanced packaging processes continue to develop‒often adopting and adapting processes and equipment from front-end manufacturing‒we need to be sure that we do not needlessly carry over baggage that impedes the optimization of these processes for their new applications. Front-end processes are designed to work on wafers, which are necessarily round and difficult to make larger. In the early days of advanced packaging, these wafer-level processes were extended to the back-end. Fan-in wafer-level chip-scale packaging (WLCSP) and wafer bumping are two prominent examples. However, as advanced packaging processes evolve further into the 2.5D and 3D space, opportunities present themselves to move away from round wafers and onto larger, square or rectangular substrates. Specifically, the manufacturing of fan-out packages on re-constituted substrates populated with KGD (known good die) and the use of high density interposer substrates for so-called 2.5D integration of advanced multi-die packages are experiencing above average growth. For these applications, the substrates can be rectangular and large. In fact, whole industries already exist, such as flat panel displays and solar panels, which use similar manufacturing processes on large rectangular substrates.
throughput_comparison
In front-end photolithography processes, where square die first met round wafers, there is an inherent inefficiency near the wafer’s edge, where squeezing as many die as possible onto the wafer inevitably results in part of the exposure field falling uselessly in the exclusion zone or off the wafer entirely. With an appropriately-sized rectangular substrate the rectangular pattern from the mask could fit perfectly, ultimately increasing the average number of die per exposure and thereby, the throughput of the exposure process. Likewise, using a larger substrate also increases throughput by reducing the nonproductive time spent exchanging substrates. Moreover, the same considerations that have historically driven increases in wafer size should also apply to non-round, non-wafer substrates, potentially providing substantial gains from using large panels throughout the manufacturing process. The flat panel display industry has increased its panel sizes over the years from 400 mm x 500 mm (Gen 2) to 2400mm x 2800mm (Gen 9) and beyond.

relative_cost

In an effort to understand the potential economic benefits, we constructed a model to compare the throughput of a 650 mm X 550 mm panel-based lithography process with a 300 mm wafer-based lithography process. The model considered 8 mm square die with 100 µm streets and a 5 mm wafer edge exclusion. We looked at two different mask (reticle) configurations containing 48 die (8 X 6) and 49 die (7 X 7) that could be exposed using the 84 mm diameter field of the 2x reduction JetStep™ Panel Lithography System (Rudolph Technologies). Both mask configurations resulted in 947 die per wafer. Since the square exposure field (7 X 7) required only 23 exposures, 6 less than the 29 exposures required by the rectangular field (8 X 6), all subsequent comparisons use the square field. The panel required 120 exposures resulting in 5214 die. It should be noted that either exposure field configuration was implemented on a standard 6-inch square, .25-inch thick reticle.

The most obvious advantage in the panel process accrues from the more than 5X greater number of substrate exchanges required by the wafer process, resulting primarily from the larger size of the panel substrate. Less obvious, but also important, are two different “square peg in a round hole” effects. The first is the decrease in the number of exposures required that results from the better fit of the rectangular field and the rectangular panel. The second is the increase in surface utilization that results from the better fit between the rectangular die and the rectangular substrate: 947 8 mm die cover 86% of the surface of a 300 mm wafer, whereas 5214 8mm die fill 94% of the surface of a 650 mm X 550 mm panel. A potential disadvantage of the panel process is the requirement for more alignment because of the increased substrate size.

For a more precise comparison, we calculated throughput in die per hour, assuming each wafer exchange took 15 seconds (including WEP) and each panel exchange took 13 seconds. FIGURE 1 compares the results for 8 mm X 8 mm die, including evaluation at two different doses (600 mj and 800 mj) and four different numbers of alignment points (4, 9, 16, and 18 points). In all cases, the panel process demonstrated approximately 2X (die per hour) throughput advantage over the wafer process. Predictably, increasing the dosage or the number of alignment points reduced the throughput for both wafer and panel processes. The decrease in throughput associated with increase in number of alignment points had an impact, for example, going from 9 to 16 points at the 600 mj dose reduced throughput by 8.8%.

parameters

Next, we estimated the cost-of-ownership for wafer and panel lithography processes, comparing three different wafer exposure systems (1X stepper, Rudolph 2X JetStep System for wafers, and Rudolph 2X JetStep System for panels). Table 1 shows the parameters used for the comparison. FIGURE 2 shows the relative cost per 100 die calculated for 8 mm X 8 mm die using 9 alignment points at 600 mj and 800 mj doses. At the 600 mj dose, cost per die decreased by approximately 18% for the JetStep wafer system and nearly 40% for the JetStep panel system, when compared to the 1X stepper. Similarly, at the 800 mj dose, cost per die decreased approximately 13% and 35%. We saw estimated cost savings of similar magnitude for smaller (3 mm X 3 mm) and larger (16 mm X 16 mm) die.

Summary

To summarize, moving from round wafers to rectangular panels (“panel-ization”) saves corner space, delivering a roughly 10% improvement in surface utilization. The larger size of the substrate and the improved fit between the mask and substrate reduce the transfer overhead by a factor of 5. The potential reduction in throughput resulting from an increase in the number of alignment points is more than offset by the improvements in throughput. Compared to a 1X stepper on wafers, panel-based processes can reduce lithography cost per die by as much as 40%.

Clearly, there are many aspects of “panel-ization” that must be addressed before these processes gain broad acceptance. It is worth noting that panel lithography is not new. It is widely used in related industries, such as the manufacturing of flat panel displays and photovoltaic solar panels. The JetStep Panel System is built on technology that has over 40 lithography systems currently installed in these and similar applications. As this analysis demonstrates, the potential economic benefits of panel-based lithography are significant. The model discussed here evaluates relatively modest sized panels. Larger panels may offer even greater benefits. Clearly, the transition to panel-based processes for advanced packaging applications bears serious consideration.

By Lara Chamness, senior market analyst manager, SEMI Industry Research and Statistics

The worldwide reclaim wafer market is estimated at $460 million in 2013 and is forecasted to reach $493 million by 2015, according to SEMI.  Both revenues and number of wafers reclaimed grew 14 percent in 2013, with 300mm wafers accounting for 72 percent of the market by revenue and representing 48 percent of actual wafers reclaimed in 2013.

In spite of the strong unit growth last year, reclaim suppliers face a number of issues including: maintaining the current balance between supply and demand, availability of prime test wafers, and funding advanced reclamation capacity, including 450mm capability in the face of a depressed pricing environment.

Looking specifically at volume growth, for the past six years, wafer volumes in terms of Million Square Inches (MSI) shipped trended in a similar manner to semiconductor unit shipments, with reclaim wafer volumes mirroring both. However, 2013 represented a divergence; semiconductor shipments increased 5 percent and virgin silicon shipments increased less than one percent, while reclaim volume shipments increased 20 percent.

Device shrinks and tighter inventory control partially explain the divergence but several reclaim suppliers noted that many of their customers found ways to reduce the number of virgin test wafers, either by increasing the amount of test wafers they reclaimed and/or starting fewer lines. Growth of reclaimed wafers in 2013 is considered an anomaly; annual growth rates for reclaim wafer volumes are expected to return to growth levels comparable with virgin silicon.

Silicon-Reclaim-chart1

Source: WSTS, February 2014; SEMI, January 2014

According to SEMI (www.semi.org), Japan remains the largest reclaim market in terms of numbers of wafers because of the installed fab base and prominent position of reclaim companies there. However, Taiwan, driven by its foundries and dominance in 300mm processing, surpassed Japan in terms of revenue in 2013.

Source: SEMI, January 2014

Source: SEMI, January 2014

Japan-based suppliers continue to dominate the market accounting for over 50 percent of the market’s revenues. Globally, 62 percent of the worldwide market is served by domestic suppliers. This is a decrease from 2012, where almost 70 percent of the market was serviced by domestic suppliers; Japan-based suppliers, benefiting from a weaker Yen in 2013, expanded their international sales. With regard to capacity, Japan suppliers account for 43 percent of large diameter (200mm and 300mm) capacity in 2013, while companies in Asia Pacific represent 26 percent. The remainder of large diameter capacity is provided by companies based in Europe and North America. Year-over-year 200mm capacity increased 17 percent relative to 2012, while 300mm capacity increased 22 percent during the same period.

Growth of the silicon reclaim wafer market is closely tied to wafer starts and foundry utilization.  Given positive growth expectations for this year and the next for semiconductors, it is expected that the silicon reclaim market will enjoy similar growth as well, especially if reclaim supply remains in balance with demand.

A recently published SEMI report, Silicon Reclaim Wafer Characterization Summary, provides details on the 2013 silicon wafer reclaim for the semiconductor market and provides a forecast to 2015.  Seven regions of the world are covered in this report including North America, Japan, Europe, Korea, Taiwan, China, and Rest of World (ROW).  Market estimates for reclaim wafers include semiconductor applications including equipment and IC manufacturing markets. Regional reclaim pricing and capacity are also discussed.

Entegris to acquire ATMI


February 4, 2014

In a merger that will bring together two key suppliers in the semiconductor industry, Entegris, Inc. and ATMI today announced Entegris will acquire ATMI for approximately $1.15 billion, or approximately $850 million net of cash acquired, including the net cash proceeds from the sale of ATMI’s LifeSciences business of $170 million. The companies anticipate closing the transaction in the second quarter of 2014.

By leveraging ATMI’s market-leading critical products, global infrastructure and expertise in key processes, Entegris will have an even stronger platform to serve the demanding technology needs of the world’s largest semiconductor makers and other electronics companies. The transaction will also provide a broader set of growth opportunities, and the company will sustain its investments in R&D, infrastructure and metrology to support that growth.

“Upon closing, approximately 80% of our product sales will be unit-driven and focused on the most rapidly growing and critical areas of the semiconductor fab,” said Bertrand Loy, President and CEO of Entegris. “We are excited about the opportunities ahead and look forward to quickly realizing the significant benefits of this transaction for our shareholders, customers and employees.”

“Throughout this process, our goal has been to enter into a transaction that not only maximizes shareholder value, but also places our business with the right partner for our valued customers and employees,” said Doug Neugold, President and CEO of ATMI. “We are pleased to merge our microelectronics business into Entegris. Entegris’ global platform and complementary products represents a great opportunity for ATMI stakeholders, including our shareholders, who will receive an immediate premium for their investment.”

ATMI shareholders will receive $34.00 in cash, without interest or dividends, for each share of ATMI common stock they hold at the time of closing. The price represents a premium of 26.3 percent to ATMI’s closing price of $26.93 on February 3, 2014. The transaction is expected to yield approximately $30 million in annualized cost synergies. Entegris expects to fund the all-cash transaction with a combination of existing cash balances and additional committed debt financing.

Goldman, Sachs & Co. is serving as the exclusive financial advisor, and Ropes & Gray LLP is serving as legal counsel to Entegris. Barclays Capital is serving as the financial advisor and Weil, Gotshal & Manges LLP is serving as legal counsel to ATMI. Goldman Sachs Bank USA has been appointed to act as the lead arranger and bookrunner for the committed financing that has been obtained by Entegris in connection with the merger and the related transactions.

With Korea expected to be the second largest region for fab construction spending in 2014, industry leaders will convene at SEMICON Korea 2014 in Seoul on February 12-14 to discuss the latest trends and technologies shaping the future of microelectronics manufacturing. Fab construction spending is expected to grow from about US$ 1.1billion in 2013 to $1.4 to 1.8 billion in 2014.  The 27th annual SEMICON Korea, the leading semiconductor technology event serving the region, will be held at COEX in Seoul. The event opens with a keynote speech by Dr. Roawen Chen from Qualcomm on “Mobile Innovation: Leading the Semiconductor Industry to a Smart, Connected World.”

Driven by demand for mobile products, capital spending in Korea for fab equipment is forecast to increase from about $5.5 billion in 2013 to $6.5 to $7.5 billion in 2014, according to the SEMI World Fab Forecast database. Leading the investment activity in 2014, Samsung and Hynix will lead the investment activity in 2014. They are expected to increase their spending on construction and equipment for Front End fabs by a significant amount. Samsung — with its S3 Line (Line 17), 14nm FinFet pilot in S1 and new DRAM line in Line 16 — is expected to be one of the largest fab projects in Korea in 2014. For Hynix, spending is likely focused on M12, M10, and M11. Hynix also has plans for two new fabs in Icheon with an investment of at least 4 trillion Won (about US$3.8 billion). Groundbreaking of Phase 1 is expected in the first half of 2014 with chip production starting as early as 2015.

This year’s event features over 500 leading companies from 20 countries with a record 1,800 exhibition booths displaying and introducing new products and technologies for microelectronics design and manufacturing. The Executive Forum will provide insight into the current status and forecast of the global semiconductor industry with Dr. Chung Lam from IBM speaking on “‘A Decade of Materials: Advanced Materials for Next Generation Device,” followed by presentations on semiconductor materials by Dr. Paul R. Besser from GLOBALFOUNDRIES Inc., Mr. Ichiro Mori from EIDEC, and Mr. Edward C. Shober from Air Products Asia Inc.

The Executive Forum will be followed by technology forums (including the Metrology and Inspection Forum, Test Forum, and System LSI Forum: Sensor Technologies), Market Seminar, and SEMI Standards programs. For a complete schedule of technical sessions and events, visit www.semiconkorea.org/ko (Korean) or www.semiconkorea.org/en (English).

Other events include: the Supplier Search Program, attended by the world’s leading chip manufacturers; the OEM Supplier Search Meeting which facilitates business cooperation between global suppliers and the nation’s parts manufacturers; and the President Reception, a networking event in which the leading companies in the industry get together.

LED Korea 2014 (www.led-korea.org/en/), the nation’s single exhibition dedicated to LED technology, will be co-located with SEMICON Korea. The event offers presentations on the LED industry and applications by experts from both academic and industrial circles. Highlights include keynote presentations from Prof. Euijoon Yoon from Seoul National University and Mr. Tae-kyung Yoo, CEO and president of Lumens.

SEMICON Korea 2014 provides an excellent opportunity learn about the overall trends in the semiconductor manufacturing industry and create new markets. Free online registration (includes exhibition and keynote speech/Executive Forum) is currently available until February 5: www.semiconkorea.org.

Korean-English simultaneous translation will be available for many of the events and sessions at SEMICON Korea.

Apple and Samsung remained the world’s largest buyers of semiconductor chips in 2013, but the intensifying battle between the two for the hearts and minds of consumers in their product offerings could presage another mighty showdown this year for the top ranking, according to a new report from IHS Technology.

“As in 2012, Apple and Samsung were the top semiconductor spenders in 2013 among original equipment manufacturers (OEM) making more than $1 billion in revenue,” said Myson Robles-Bruce,  senior analyst for semiconductor spend and design analysis at IHS. “Apple was in first place with chip spending in 2013 of $30.3 billion, outspending runner-up Samsung’s $22.2 billion by more than $8 billion. However, the South Korean electronics titan attained the largest spending increase on chips of any Top 10 OEM last year, up almost 30 percent from 2012 levels, compared to a smaller expansion of 17 percent on the part of Apple.”

Combined, the two claimed about 14 percent of total spending in 2013, well ahead of other prominent chip buyers. Rounding out the Top 5 are Hewlett-Packard in third place, with $10.1 billion in spending; Lenovo in fourth, with $9.2 billion; and Dell in fifth, with $7.7 billion. The rest of the Top 10 includes Cisco Systems, Sony, Huawei Technologies, Panasonic and Toshiba, as shown in the table below.

Screen Shot 2014-01-27 at 3.23.35 PM

All told, the served available market (SAM) for semiconductor spending reached $237.2 billion in 2013, up nearly 5 percent after spending dipped from $231.7 billion in 2011 to $226.7 billion in 2012.

The SAM metric counts only expenditures that an OEM made as an external agent, which gives a truer picture of the state of chip spending in the electronics industry. This is because SAM does not factor in spending by manufacturers for chip buying done at their own internal divisions—as can happen with entities like Samsung, whose internal  customers within the vast Samsung family of companies compete with external clients in sourcing Samsung-made semiconductors.

The findings are contained in the report, “Wireless and Industrial Boost Semiconductor Spending,” which tracks the semiconductor procurement of more than 200 electronics companies.

Apple and Samsung: no end seen to fierce rivalry

In the consumer market Apple and Samsung continue to face off in their smartphones and tablet offerings, where the two are locked in fierce combat. Apple remains the leader on both fronts, with its iPhone and iPad selling in greater numbers than Samsung’s Galaxy line of handsets and tablets.

Increasingly, however, Apple is finding it hard to hold ground against an onslaught of competitors—including a well-armed Samsung, Robles-Bruce noted. In smartphones, for instance, Samsung’s strategy includes an effort to sell models even in areas of the world with already high smartphone penetration. The high cost of the iPhone, in contrast, has prevented the handset from being widely adopted in developing countries where markets remain ripe for penetration—despite a recent iPhone victory in China for broad distribution and huge sales for its new Apple iPhone 5s.

Samsung’s unmistakable intention to use flexible active-matrix organic light-emitting diode (AMOLED) display technology on its product offerings could also be a future differentiator from products made by Apple, which has clearly indicated it wants nothing to do with OLED technology. If OLED technology catches on with consumers, Apple could start to suffer, which would be reflected in the California maker’s prodigious chip-buying powers.

Meanwhile in tablets, Samsung has likewise made great strides. Its share in the global tablet market has climbed to about 22 percent, closing in on Apple’s 30 percent market share, in a space that Apple virtually owned and was once thought to be impregnable.

Such volatile dynamics between the two contenders could make for another lively tug of war when figures are calculated at the end of the year for the semiconductor spending crown, with results likely to be watched closely by all quarters.

Wireless spending is still king

Spending last year on semiconductors was strongest in the wireless segment among seven different application categories.

Wireless accounted for nearly one-third of total OEM chip spending at 31 percent, followed by chip spending on computer platforms at a distant second with 22 percent. In third place was chip spending on consumer devices, at 16 percent.

The remaining four categories claimed single-digit share in total OEM chip spending. These segments include industrial, automotive, wired communications and computer peripherals.

The top OEM buyers in the wireless segment were Apple, Samsung, Huawei, ZTE and LG. And for the first time, spending on tablets overtook that on wireless infrastructure. Both were still well behind handsets, which remained far and away the top category for OEM chip spending in the wireless segment.

Wireless was also the fastest-growing application segment this year, up 20 percent; with industrial electronics in second place, up 7 percent.

SMIC unveils 28nm readiness


January 27, 2014

Semiconductor Manufacturing International Corporation, China’s largest and most advanced semiconductor foundry, announced today that its 28nm technology has been process frozen and the company has successfully entered Multi Project Wafer (MPW) stage to support customer’s requirements on both 28nm PolySiON (PS) and 28nm high-k dielectrics metal gate (HKMG) processes. Over 100 IPs from multiple third party IP partners as well as SMIC’s internal IP team are prepared to serve various projects from worldwide design houses that have been showing interest in SMIC 28nm processes.

28nm process technologies primarily target mobile computing and consumer electronics related applications, such as Smartphone, Tablets, TV, Set-top Boxes and networking. It provides customers high performance application processors, cellular baseband, wireless connectivity etc. According to IHS’ forecasts, the pure-play foundry revenue potential for 28nm will continue to rise with a CAGR of 19.4% from 2012 to 2017.

“I am pleased to announce the successful 28nm process milestone, which enables SMIC to better position itself in engaging and serving mobile computing related customers,” said Dr. Tzu-Yin Chiu, Chief Executive Officer & Executive Director of SMIC. “As the first foundry in mainland China to offer 28nm process technologies, this significant milestone demonstrates SMIC’s continuous growing capabilities in offering leading foundry technologies to worldwide IC designers.”

“The first SMIC 28nm MPW shuttle included both 28PS and 28HKMG related customer products for verification, which was already launched at the end of 2013 as planned,” said Dr. Shiuh-Wuu Lee, Executive Vice President of Technology Development of SMIC. “By taking more MPW shuttles in 2014, we will continue to take more positive steps to strengthen and diversify our technology offerings and meet customers’ growing demands on both advanced and differentiated technologies.”

At the SEMI Industry Strategy Symposium Europe (ISS Europe 2014) on February 23-25 in Salzburg, semiconductor industry executives will examine the conditions required to achieve the EU’s 10/100/20 strategy — 20 percent market share of global semiconductor manufacturing by 2020.  Presenters from ASML, ASMI, Deutsche Bank, GlobalFoundries, Infineon, Nomura Research, NXP, STM, and more will lead a discussion of the strategic measures needed to strengthen Europe’s competitiveness and sustainability and determine the boundary conditions to achieve the EC’s ambitious goal.

The recently published market analysis by IC Insights forecasts capital spending of US$ 62 billion up 7 percent year-over-year and materials increasing 5 percent to reach US$ 53 billion in 2014. For Europe, SEMI forecasts that total spending on semiconductor equipment and materials will exceed $5 billion for the year.

Change and challenges in the semiconductor and related industries will continue in 2014.  In Europe, consolidation of our industry could continue while technological investment in EUV, the 450mm transition, and 3D-TSV are expected to continue, supported by the KET (Key Enabling Technologies) and the EU10/100/20 initiative pushed forward by both the EU and national governments.

Prominent industry experts and forecasters at ISS Europe will share their views on what advancements and conditions are necessary to help Europe reach this goal of 20 percent share of worldwide semiconductor manufacturing. Major focus will be on macro-economics, mega-trends, global and regional market development, 450mm transition, and EUV status.

The speaker line-up at ISS Europe includes the following two keynote speakers:

· Richard Koo, Chief Economist, Nomura Research, on “Understanding Eurozone Crisis with Lessons from Japan”

· Aart de Geus, Chairman and co-Chief Executive Officer, Synopsys, on “Bridging the Digital Agenda”

The market data and technical discussions will be complemented by a panel discussion “Will Europe Win with the EU10/100/20 Strategy?” with a diverse group of manufacturers and stakeholders, focusing on the issues for successful device manufacturing in Europe. The panel discussion will include representatives from the European Commission, ASM, AENEAS, GLOBALFOUNDRIES, Infineon, and STMicroelectronics. The panel will be moderated by Malcolm Penn, CEO of Future Horizons.

SEMI ISS Europe has presented the annual planning data for Europe for nearly three decades. It offers a unique opportunity to interact with high-level representatives from the entire semiconductor supply chain and to network with customers, partners and peers.

North America-based manufacturers of semiconductor equipment posted $1.38 billion in orders worldwide in December 2013 (three-month average basis) and a book-to-bill ratio of 1.02, according to the December EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.02 means that $102 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in December 2013 was $1.38 billion. The bookings figure is 11.1 percent higher than the final November 2013 level of $1.24 billion, and is 48.3 percent higher than the December 2012 order level of $927.4 million.

The three-month average of worldwide billings in December 2013 was $1.35 billion. The billings figure is 20.8 percent higher than the final November 2013 level of $1.11 billion, and is 33.8 percent higher than the December 2012 billings level of $1.0 billion.

“Through the final quarter of 2013, both bookings and billings continually improved,” said Denny McGuirk, president and CEO of SEMI.  “The December three-month average bookings were at the highest level since June 2012 — a positive sign for the 2014 spending outlook.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

July 2013

1,204.0

1,207.2

1.00

August 2013

1,081.9

1,063.9

0.98

September 2013

1,020.9

992.8

0.97

October 2013

1,071.0

1,124.5

1.05

November 2013 (final)

1,113.9

1,238.0

1.11

December 2013 (prelim)

1,345.7

1,375.1

1.02

Source: SEMI, January 2014; Equipment Market Data Subscription (EMDS)