Category Archives: Metrology

Compiled by Pete Singer, Editor-in-Chief; Edited by Shannon Davis, Web Editor

Internet of Things

We asked leading industry experts and analysts to give us their perspectives on what we can expect in 2014. All expect it to be a banner year for the semiconductor industry, as the world’s demand for electronics continues unabated. However, most believe we are seeing an era of unprecedented change, driven by a shift to mobile computing, the Internet of Things, higher wafer costs and difficult technical challenges. To address these challenges, new levels of innovation and collaboration will be needed.

Click to launch slideshow

KEREN J. KANARIK, SAMANTHA TAN, JOHN HOLLAND, AARON EPPLER, VAHID VAHEDI, JEFF MARKS, and RICHARD A. GOTTSCHO, Lam Research Corporation, Fremont, CA USA.

A new plasma-enhanced atomic layer etch method delivers atomic-level etch precision with process times that are practical for use in a manufacturing environment.

Extending Moore’s Law will rely increasingly on high-precision processes to form minuscule device features with high-quality film surfaces. At the sub-14nm technology node, transistor performance will be highly sensitive to process variations, which can significantly impact current leakage and battery power loss. To give some perspective on the reality of the challenges, within the next 10 years, transistor gate dimensions are expected to be less than 50 atoms wide, and feature size variations will be measured in atoms, including contributions from surface roughness. Atomic layer processes are the most promising path for delivering the precision needed at this scale. Atomic layer deposition (ALD) has been in production for over a decade in the semiconductor manufacturing industry. However, it has been difficult making the etch counterpart — atomic layer etch (ALE) — productive enough for cost-effective manufacturing, and a commercially viable system has not been available. Here, we report on a plasma-enhanced ALE method using a commercial plasma reactor that provides atomic-level precision with process times that are suitable for high-volume device manufacturing.

Promise of atomic-layer processes
Although used in the semiconductor industry for nearly 40 years, continuous deposition and etch processes are inherently imprecise due to how they are executed. Typically, all of the reactants are introduced into the process chamber simultaneously, where they interact concurrently and continuously with the exposed film surfaces. As a result, the film thickness is often strongly dependent upon parameters such as reactant flux, which can vary locally as well as across the wafer. While continuous processes can be optimized to be more precise, for example in terms of uniformity and film smoothness, it is often difficult to compensate for all factors simultaneously.

etch_1
FIGURE 1. Illustration of the process steps in a plasma-enhanced ALE cycle for a silicon film etched by chlorine and argon.
etch_2
FIGURE 2. High-resolution TEM images of blanket epitaxial silicon after removal of ~400 atomic layers by continuous and ALE methods under comparable process conditions.
etch_3
FIGURE 3. AFM data of blanket epitaxial silicon surfaces showing surface roughness before etch, after a continuous etch, and after ALE.

In contrast, atomic layer processes introduce the reactants sequentially through a set of repeated self-limiting cycles. In this case, the amount of film added or removed is controlled by the number of these cycles. The reactions stop when the limited reactants are consumed, and the film surface “resets” to a common state after each cycle. This results in an inherently smooth surface and uniform film.

The challenge in implementing atomic layer processes in high-volume semiconductor manufacturing is that their rates tend to be very slow, up to several orders of magnitude less than continuous processes. In the case of ALD, fab adoption came after nearly half a century of laboratory work and commercial applications in other industries such as flat panel display. Today, ALD is a mainstream technique used for depositing both metals and dielectrics in production. Although rates are still slower than some chemical vapor deposition (CVD) continuous processes, the associated benefits from ALD are attractive, especially for very thin films or when alternative processes fail to meet requirements. With ongoing improvements in productivity, ALD is expected to be used on an increasing number of emerging films.

Making ALE faster
Lagging behind its deposition counterpart, ALE was first demonstrated in the laboratory in the early 1990s and has remained a subject of ongoing important research ever since [1]. Unfortunately, achieving productivity levels sufficient for high-volume manufacturing has been challenging. To give a sense of the process times involved, consider the most studied ALE case of etching a silicon (Si) film. Reported cycle times typically vary from ~1 minute to over 5 minutes with corresponding etch rates of ~0.1 to 0.01 nm/min. These long process times are largely due to using thermal adsorption methods and specialized equipment such as ion beam systems for desorption, which are also undesirable from a cost perspective. An attractive alternative is to use a conventional etch reactor and enhance the ALE rate through specific plasma techniques.

To understand how plasma enhancement improves process cycle times, it is useful to consider the individual steps involved in a single ALE cycle. FIGURE 1 illustrates these steps for the case study of etching silicon with chlorine (CI₂) and argon (Ar). First, chlorine reactants are adsorbed onto the silicon film surface, and then excess reactants are purged. Next, argon ions are introduced to desorb the silicon chloride byproduct via directional ion bombardment, followed by purging the excess gas. This cycle is then repeated until the desired amount of film has been removed.

The adsorption step has historically been done using thermal methods, in which adsorption occurs spontaneously at room temperature and follows Langmuir kinetics. The time needed to completely saturate the surface has been reported to take ~30 seconds. The speed of this step is limited by the time needed for the Cl₂ molecules to dissociate, which must occur before chlorine can react with a dangling silicon bond at the film surface. With the plasma-enhanced ALE method, chlorine gas is ignited into a plasma, which readily dissociates Cl₂ to produce radicals that quickly react with the silicon surface. It is worth pointing out that the plasma needs to operate in a regime that minimizes ions and photons with energies above the etch threshold in order to prevent premature, uncontrolled etching.

For the desorption step, bombarding particles are used to provide enough energy to break the Si–Si bonds that have been weakened by adsorbed chlorine. However, bombarding the surface to remove material is somewhat inefficient, and calculations have indicated that it takes ~10 particles to remove just one silicon chloride molecular byproduct [2]. This step can be accelerated by applying a high flux of bombarding ions, generated by the same plasma reactor used for the adsorption step. By combining these adsorption/desorption improvements with fast gas-switching capabilities, significantly faster cycle times can be achieved.

Application of plasma-enhanced ALE
This ALE technique was evaluated on blanket epitaxial silicon wafers along with a continuous plasma process under comparable conditions. The etch rate of the ALE process is found to be significantly faster than has been typically demonstrated. To verify that the ALE process is not simply the sum of physical sputtering and spontaneous chemical surface reactions, these rates were measured and found to contribute insignificantly to the overall etch rate. It was also confirmed that the plasma-enhanced ALE process is self-limiting, with the reactive layer reaching a self-limiting thickness of a few atomic layers. Note that analogous with ALD, the ALE process benefits occur not because each cycle removes exactly one atomic layer, but because each cycle is self-limiting.

To evaluate the surface conditions after etch, blanket wafers were processed by continuous or ALE methods to remove ~400 atomic silicon layers (~50 nm) and evaluated with high-resolution transmission electron microscopy (TEM) (FIGURE 2). The continuous process produces a rough surface, while the ALE process leaves the surface smooth. Quantitative analysis of surface roughness was determined in terms of root mean squared (RMS) using atomic force microscopy (AFM). Prior to etching, the surface roughness was 2 atomic layers (FIGURE 3). The data show that the continuous process added 15 atomic layers of roughness due to accumulative effects. In contrast, the ALE process contributed only 1 additional atomic layer of roughness, thus preserving the atomic smoothness of the film. This is attributed to the self-limiting nature of ALE, where the film’s surface state resets after each cycle.

etch_4
FIGURE 4. Uniformity across a blanket silicon wafer before and after the ALE process.
etch_5
FIGURE 5. SEM images of polysilicon trenches etched under comparable process conditions.

The ALE process is also found to be precise on the macroscopic scale. The amount of silicon removed by ALE was measured across the full diameter of a 300mm wafer (FIGURE 4). Even though the ALE process was not optimized, the process demonstrates excellent uniformity, indicating relative insensitivity to variations in neutrals and ions across the wafer. This implies relatively high process stability and repeatability, which is an important requirement for high-volume manufacturing.

To characterize performance on patterned features, polysilicon trenches were used to examine etch profiles. As shown by the scanning electron microscope (SEM) images in FIGURE 5, the etch front for the continuous process is micro-trenched, which is a well-known phenomenon that occurs in chlorine/argon plasma chemistries. This effect is attributed to ion scattering from the feature sidewalls, causing the trench side corners to be etched faster than the center [3]. In contrast, the ALE process shows a flat etch front. This is attributed to the self-limiting nature of the process: once the adsorbed reactants are exhausted, the argon sputter rate is too slow to be significant. The overall result is the desired flat etch front with an atomically smooth surface that is uniformly repeated across the wafer.

Conclusion
A plasma-enhanced ALE method has been presented that delivers atomic-level etch precision with process times that are practical for use in a manufacturing environment. Addressing historical productivity barriers while maintaining self-limiting behavior was achieved by enhancing the adsorption and desorption steps with plasma using a commercially available etch reactor. With this new capability, production-ready ALE is becoming a reality, and this is a significant and exciting milestone for extending Moore’s Law.

Acknowledgments
The authors would like to thank Saravanapriyan Sriraman, Joydeep Guha, Jun Belen, and Elizabeth Pavel for their contributions. We gratefully acknowledge the use of facilities within the LeRoy Eyring Center for Solid State Science at Arizona State University.

1. V. Donnelly and A. Kornblit, “Plasma etching: Yesterday, today, and tomorrow,” J. Vac. Sci. Technol. A, Vol. 31(5) (2013).

2. D. Athavale and D. J. Economou, “Molecular dynamics simulation of atomic layer etching of silicon,” J. Vac. Sci. Technol. A, Vol. 13 (1995).

3. S. A. Vitale, H. Chae, and H. H. Sawin, “Silicon etching yields in F₂, Cl₂, Br₂, and HBr high density plasmas,” J. Vac. Sci. Technol. A, Vol. 19 (2001).


KEREN J. KANARIK is a technical marketing director at Lam Research Corp., 4650 Cushing Pkwy, Fremont, CA 94538 USA; email: [email protected]

ANTHONY BARKER, KEVIN RIDDELL, HUMA ASHRAF and DAVE THOMAS, SPTS Technologies, Newport, UK. CHIA-HAO CHEN, YI-FENG WEI, I-TE CHO and WALTER WOHLMUTH, WIN Semiconductors Corp, Hwaya Technology Park, Taiwan.

The development of an 85µm diameter, 100µm deep SiC back-side via etch process for production is described.

The high breakdown voltage and high electron mobility of GaN make it an attractive material for high power device applications [1]. GaN is typically grown on SiC substrate wafers. Therefore the implementation of back-side vias involves the deep etching of SiC to form conducting pathways to the front-side circuitry [2,3].

Compared to GaAs the material properties of SiC and GaN make them much more challenging to plasma etch. Energetic plasma processes are required to deliver productive SiC etch rates whilst maintaining high enough selectivity to the masking layer and low enough wafer temperature to preserve the bonding and prevent de-lamination. This requires metal masks and careful attention to the method of wafer clamping and temperature control. Due to the ground finish of the pre-etched SiC surface descum break-through steps are essential in minimising defects within the vias to maximise device yields. In such an energetic plasma environment it is challenging to maintain smooth enough SiC walls for subsequent seed metal deposition/electro-plating and to preserve selectivity to the GaN. The build up of relatively low volatility etch by-products within the via and upon the surfaces of the plasma reactor requires effective wet cleans to be developed for both the wafer and the reactor.

pe_1
Figure 1
pe_2
Figure 2

Experimental

Substrates for etching were prepared by WIN Semiconductors. The 100mm diameter GaN/SiC wafers were temporarily bonded face down to a 100mm carrier. After SiC grinding to ~100µm thickness an electro-plated Ni mask was patterned ready for the SiC via etch. Following via etching the wafers were wet cleaned to strip the mask and clean the via of polymer. The GaN layer was then etched, using the SiC via as the mask, stopping on the front-side Au metal. All etching was carried out in an SPTS APS process module. A schematic of the module is shown in FIGURE 1.

pe_3

The reactor is designed with a doughnut-shaped source RF coupling ceramic (13.56MHz at up to 2.2kW), and a heated chamber (set to 50-60°C) with multi-polar magnetic confinement. This arrangement delivers plasma densities in the range 1012-1013cm-3, typically 10x higher than conventional ICPs. The etch processes used SF₆/O₂/He and Cl₂/BCl₃ chemistries for the SiC and GaN, respectively. A propietary descum process was developed as part of the SiC via etch in order to reduce/eliminate the formation of pillar defects. Mechanical clamping was used to ensure reliable temperature control during the SiC and GaN etch steps. The platen temperature was set to 10°C. Optical emission spectroscopy (OES) was used to end-point the GaN etch. Wet chemical via cleaning was also investigated. Processed wafers were analysed using optical microscopy, cross-sectional SEM, profilometry and temperature label measurement.

Results

Wafer temperature was assessed using ‘4 level micro-strips’ (RS Components). These temperature stickers record the peak temperature. Table I summarises the peak temperatures for various wafer types for a 5 minute etch time when the platen is set to 10°C. These temperatures are safely below the the maximum allowable (dictated by the temporary bonding layer) which is 130°C in this case.

table_1
Table 1: Water temperatures for silicon carbide via etching
table_2
Table 2: Process trends for silicon carbide via etching

Due to the wet chemical etches of the metal seed layers and the SiC grinding that take place prior to the SiC via etch it is necessary to introduce a descum step as part of the via etch process. Optical images of SiC vias are shown in FIGURE 2 after partial etching with a range of descum conditions. Standard descums are ineffective, resulting in defectivity levels of 50-100%. A proprietary approach has been developed that substantially reduces pillar defectivity to <1%.

FIGURE 3 shows the SiC etch rate and the Ni mask selectivity for the main etch conditions as a function of bias power. The wafers were run with the optimized descum but the impact of the descum on the etch rate and selectivity has been subtracted.

The data clearly shows that there is a balance required between maximizing the etch rate and conserving sufficient Ni mask by optimizing the selectivity.

FIGURE 4 shows selectivity to the GaN underlayer across a similar bias power range. The improvement in selectivity with reducing bias power makes a 2 step (soft landing) approach appropriate for this application.

Having investigated the etch rate and selectivity trends it was necessary to focus on improvements to the sidewall roughness of the via. FIGURE 5 shows the impact of chemical dilution on the SiC etch rate and mask selectivity. Here the He flow was increased so as to be the primary process gas. There is a corresponding reduction in the slopes of the graphs. Lower etch rates result under these conditions but the selectivity becomes a softer function of bias power which can help in tuning the process. Dilution was found to improve sidewall quality and improve within wafer etch rate uniformity. The next stages of the development saw a move to higher pressure to drive the SiC etch rate and selectivity up whilst maintaining sidewall quality. FIGURE 6 shows the SiC etch rate and selectivity trends with process pressure.

Table 2 summarises the process trends for the SiC Via etch tuning.

SEM cross sections for a 100µm deep SiC via etched using a two step optimized process stopping on the GaN underlayer are shown in FIGURE 7. The GaN loss has been measured to be <0.35µm for this process.

FIGURE 8 shows the via base following GaN etching using a Cl₂/BCl₃ chemistry in the same APS module. This process takes place after the Ni mask has been stripped and the via wet cleaned of polymer. Selective etching of the GaN to the Au metallisation is achieved.

End-point traces for the GaN etch are shown in FIGURE 9. The intensities of the Ga* emissions at 417nm for 2 consecutive wafers show that the total etch times agree within 3 seconds.

The ability to clean the via of etch polymer using a 20% HNO3 solution at room temperature for 15 minutes is shown in FIGURE 10. The trenching observed at the base of these partially etched vias is typical for an energetic process of this type. The trenching disappears when etching is continued to the GaN layer.

The substrate vias were then coated with a sputtered metal seed layer and electro-plated with Au metal resulting in a nominal via resistanace slightly below 6E-3Ω.

pe_4

Conclusions

A manufacturable SiC back-side via process has been developed for high power device applications. Etch rates >1.3µm/min with cross-wafer uniformities of <±5% have been achieved along with Ni mask selectivity in the range 30-40:1. The use of a unique descum process has resulted in pillar defect levels <1% and the vias are easily cleaned of polymer using HNO3 solutions. The same module hardware has been used to etch the GaN stopping on Au metal with automated end-point detection control. Via resistances <6E-3Ω have been achieved.

Acknowledgements

The authors would like to thank Tony Barrass and Brian Kiernan at SPTS for their help in designing and implementing the weighted clamp hardware for the APS module and all of the staff at WIN Semiconductors who supported the GaN technology development.

References

1. wV. Bougrov, et al., Properties of advanced semiconductor materials GaN, AlN, InN, BN, SiC, SiGe. Eds. M.E. Levinshtein et al., Wiley & Sons, New York pp. 1-30, 2011.

2. H. Stieglauer, et al., Evaluation of through wafer via holes in SiC for GaN HEMT technology, 2012 CS MANTECH Technical Digest, pp. 211-214, April 2012.

3. J-A. Ruan, et al., Backside via process of GaN device fabrication, 2012 CS MANTECH Technical Digest, pp. 215-217, April 2012. •

DAVE THOMAS is etch marketing director at SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, e-mail: [email protected].

Design for yield trends


January 23, 2014

SARA VER-BURGGEN, contributing editor, SemiMD

Should foundries establish and share best practices to manage sub-nanometer effects to improve yield and also manufacturability?

Design for yield (DFY) has been referred to previously on this site as the gap between what the designers assume they need in order to guarantee a reliable design and what the manufacturer or foundry thinks they need from the designer to be able to manufacture the product in a reliable fashion. Achieving and managing this two-way flow of information becomes more challenging as devices in high volume manufacturing have 28nm dimensions and the focus is on even smaller dimension next-generation technologies. So is the onus on the foundries to implement DFY and establish and share best practices and techniques to manage sub-nanometer effects to improve yield and also manufacturability?

‘Certainly it is in the vital interest of foundries to do what it takes to enable their customers to be successful,’ says Mentor Graphics’ Technology Communications Manager, Gene Forte, adding, ‘Since success requires addressing co-optimization issues during the design phase, they must reach out to all the ecosystem players that enable their customers.’

Mentor refers to the trend of DFY moving closer to the manufacturing/foundry side as ‘design-manufacturing co-optimization’, which entails improving the design both to achieve higher yield and to increase the performance of the devices that can be achieved for a given process.

But foundries can’t do it alone. ‘The electronic design automation (EDA) providers, especially ones that enable the critical customer-to-foundry interface, have a vital part in transferring knowledge and automating the co-optimization process,’ says Forte. IP suppliers must also have a greater appreciation for and involvement in co-optimization issues so their IP will implement the needed design enhancements required to achieve successful manufacturing in the context of a full chip design.

As they own the framework of DFY solutions, foundries that will work effectively with both the fabless and the equipment vendors will benefit from getting more tailored DFY solutions that can lead to shorter time-to-yield, says Amiad Conley, Applied Materials’ Technical Marketing Manager, Process Diagnostics and Control. But according to Ya-Chieh Lai, Engineering Director, Silicon and Signoff Verification, at Cadence, the onus and responsibility is on the entire ecosystem to establish and share best practices and techniques. ‘We will only achieve advanced nodes through a partnership between foundries, EDA, and the design community,’ says Ya-Chieh.

But whereas foundries are still taking the lead when it comes to design for manufacturability (DFM), for DFY the designer is intimately involved so he is able to account for optimal trade-off in yield versus PPA that result in choices for specific design parameters, including transistor widths and lengths.

For DFM, foundries are driving design database adjustments required to make a particular design manufacturable with good yield. ‘DFM modifications to a design database often happen at the end of a designer’s task. DFM takes the “ideal” design database and manipulates it to account for the manufacturing process,’ explains Dr. Bruce McGaughy, Chief Technology Officer and Senior Vice President of Engineering at ProPlus Design Solutions.

The design database that a designer delivers must have DFY considerations to be able to yield. ‘The practices and techniques used by different design teams based on heuristics related to their specific application are therefore less centralized. Foundries recommend DFY reference flows but these are only guidelines. DFY practices and techniques are often deeply ingrained within a design team and can be considered a core competence and, with time, a key requirement,’ says McGaughy.

In the spirit of collaboration

Ultimately, as the industry continues to progress requiring manufacturing solutions that increasingly tailored and more and more device specific, this requires earlier and deeper collaboration between equipment vendors and foundry customers in defining and developing the tailored solutions that will maximize the performance of equipment in the fab. ‘It will also potentially require more three-way collaboration between the designers from fabless companies, foundries, and equipment vendors with the appropriate IP protection,’ says Conley.

A collaborative and open approach between the designer and the foundry is critical and beneficial for many reasons. ‘Designers are under tight pressures schedule-wise and any new steps in the design flow will be under intense scrutiny. The advantages of any additional steps must be very clear in terms of the improvement in yield and manufacturability and these additional steps must be in a form that designers can act on,’ says Ya-Chieh. The recent trend towards putting DFM/DFY directly into the design flow is a good example of this. ‘Instead of purely a sign-off step, DFM/DFY is accounted for in the router during place and route. The router is able to find and fix hotspots during design and, critically, to account for DFM/DFY issues during timing closure,’ he says. Similarly, Ya-Chieh refers to DFM/DFY flows that are now in place for custom design and library analysis. ‘Cases of poor transistor matching due to DFM/DFY issues can be flagged along with corresponding fixing guidelines. In terms of library analysis, standard cells that exhibit too much variability can be systematically identified and the cost associated with using such a cell can be explicitly accounted for (or that cell removed entirely).’

‘The ability to do “design-manufacturing co-optimization” is dependent on the quality of information available and an effective feedback loop that involves all the stakeholders in the entire supply chain: design customers, IP suppliers, foundries, EDA suppliers, test vendors, and so on,’ says Forte. ‘This starts with test chips built during process development, but it must continue through risk manufacturing, early adopter experiences and volume production ramping. This means sharing design data, process data, test failure diagnosis data and field failure data,’ he adds.

A pioneer of this type of collaboration was the Common Platform Consortium initiated by IBM. Over time, foundries have assumed more of the load for enabling and coordinating the ecosystem. ‘GLOBALFOUNDRIES has identified collaboration as a key factor in its overall success since its inception and been particularly open about sharing foundry process data,’ says Forte.

TSMC has also been a leader in establishing a well-defined program among ecosystem players, starting with the design tool reference flows it established over a decade ago. Through its Open Innovation Platform program TSMC is helping to drive compatibility among design tools and provides interfaces from its core analysis engines and third party EDA providers.

In terms of standards Si2 organizes industry stakeholders to drive adoption of collaborative technology for silicon design integration and improved IC design capability. Forte adds: ‘Si2 working groups define and ratify standards related to design rule definitions, DFM specifications, design database facilities and process design kits.’

Open and trusting collaboration helps understand the thriving ecosystem programs that top-tier foundries have put together. McGaughy says: ‘Foundry customers, EDA and IP partners closely align during early process development and integration of tools into workable flows. One clear example is the rollout of a new process technology. From early in the process lifecycle, foundries release 0.x versions of their PDK. Customers and partners expend significant amounts of time, effort and resources to ensure the design ecosystem is ready when the process is, so that design tapeouts can start as soon as possible.’

DFY is even more critically involved in this ramp-up phase, as only when there is confidence in hitting yield targets will a process volume ramp follow. ‘As DFY directly ties into the foundation SPICE models, every new update in PDK means a new characterization or validation step. Only a close and sustained relationship can make the development and release of DFY methodologies a success,’ he states. •

SARA VER-BURGGEN is a contributing editor for Semiconductor Manufacturing and Design, www.semimd.com.

Semiconductor Research Corporation (SRC), a university-research consortium for semiconductors and related technologies, has launched a significant new initiative on Trustworthy and Secure Semiconductors and Systems (T3S). The first major phase of T3S research is a $9 million joint effort over the next three years with the National Science Foundation (NSF) focused on Secure, Trustworthy, Assured and Resilient Semiconductors and Systems (STARSS).

The goal of T3S is to develop strategies and tools for the design and manufacture of chips and systems that are reliable, trustworthy and secure. This includes increasing resistance and resilience to attack or tampering and improving the ability to provide authentication throughout the supply chain or in the field.

Initial T3S industry participants include Advanced Micro Devices, Freescale, Intel Corporation and Mentor Graphics. The initiative is also open to companies that are not already members of SRC. NSF is the first federal partner.

“Semiconductor-based hardware is at the heart of today’s interconnected and intelligent systems — from the GPS in your car and your phone to transportation, financial, energy and other critical infrastructure systems,” said SRC President Larry Sumney. “As we increasingly depend upon these systems, their trustworthiness, security and reliability are more important than ever.”

NSF’s involvement in T3S is part of its Secure and Trustworthy Cyberspace (SaTC) program. The program aims to improve the resilience of software, hardware and critical infrastructure while preserving privacy, promoting usability and ensuring trustworthiness through foundational research and prototype deployments.

Secure, Trustworthy, Assured and Resilient Semiconductors and Systems (STARSS)

Today, design and manufacture of semiconductor circuits and systems includes extensive verification and testing to ensure the final product does what it is intended to do. Similar approaches are needed to provide assurance that the product does not allow unwanted functionality, access or control.

This includes strategies at all stages, from architecture through manufacture and throughout the lifecycle of the product,” said Celia Merzbacher, SRC Vice President for Innovative Partnerships. “Being able to assure that a product performs as designed and does nothing else is what Trustworthy and Secure Semiconductors and Systems research is about.”

Design and manufacture of complex semiconductor circuits and systems, which can contain billions of transistors, requires many steps and involves the work of hundreds of engineers — typically distributed across multiple locations and organizations worldwide. Moreover, a typical microprocessor is likely to include dozens of design modules from various sources. Designers at each level need assurance that the components being incorporated can be trusted in order for the final system to be trustworthy.

“The increase in complexity and fragmented supply chain compounds the need for focused research,” said Keith Marzullo, director of NSF’s Division of Computer and Network Systems. “The academic research community is well-suited to perform the fundamental research that will lead to robust technological solutions. And the collaboration between NSF and SRC will provide pathways for results to efficiently move into practical use.”

Macroeconomic and microelectronic industry growth opportunities and innovation challenges underscored diverse perspectives from analysts, economists, technologists, semiconductor manufacturers and supply chain executives speaking at the SEMI Industry Strategy Symposium (ISS) that opened yesterday.  The executive conference offers the year’s first strategic outlook for the global microelectronics manufacturing industry and offered encouraging forecasts buttressed by the silicon requirements for the pervasive computing era.

Opening keynoter Rick Wallace, president and CEO of KLA-Tencor, invoked Robert Frost prose on the “The Road Not Taken” to illustrate competing industry views about growth. Wallace contrasted consolidation-driven industry mergers to what he characterized as more agile productivity-oriented innovation growth.  He rejected dual-source strategies as the optimal path for the industry and its supply chain and called for industry to make a more convincing appeal to young talent. In a provocative differentiation from competitors, Wallace questioned whether “too big to fail is also too big to innovate.”

Robert C. Fry, senior economist at Dupont, pointed to low but persistent global economic growth and highlighted positive data for global industrial production. He forecast global GDP growth of 3.1 percent in 2014 — up from 2.4 percent in 2013. Moreover, he commented on the increasing correlation between global GDP and semiconductor output, with high tech once again growing faster than the economy. Fry stated that global leading indexes are trending up, but not strongly or universally. Semiconductor shipments are finally setting new highs again and semi shipments have been trending up for more than a year.

Bill McClean, president of IC Insights, also pointed to better GDP growth trends, from 2.1 percent (2008-2012) to a forecast of 3.4 percent growth for 2014. Noting the trend toward mobility, he said that 2014 will be the first year that communications IC spending surpassed computing IC spending. He forecast 7 percent semiconductor market growth in 2014 to $350.7 billion and called for capital equipment spending of $62.3 billion, 9 percent higher than 2013 ($57.2 billion).

Bob Johnson, research VP at Gartner, stated that in the short term, growth will return to equipment markets in 2014 with annual growth between 16 and 21 percent. He expects quarterly weakness in the first half of 2014 after a strong fourth quarter in 2013. Longer term, he sees foundries battling IDMs for supremacy in mobility markets, technology shifts on the horizon with the advent of 3D NAND and EUV, and  450mm implementation beginning by end of 2017.  Also, Johnson said that by 2017, the dominant semiconductor revenue opportunity in the “Internet of Things” will shift from infrastructure to the “Things,”  and that the challenge will be in how to bring thousands of new products to market rapidly and cheaply.

Mark Thirsk, managing partner at Linx Consulting, discussed chemicals and materials needed for advanced semiconductor devices and forecast an improved outlook for 2014 with strong Q2 and Q3.  A high upside potential remains in specialty materials for semiconductors, but significant R&D requirements remain a barrier.  Materials demand grows faster than semiconductor unit growth due to process complexity — with Patterning, CVD and ALD, and CMP all driving materials demand growth. For the next 3-5 years, 3D packaging and TSV processing are key areas.

In the next SEMI Industry Strategy Symposium session, presenters spoke of both the challenges and opportunities inherent in Pervasive Computing. Nick Yu, senior VP at Qualcomm, discussed the unprecedented opportunity that the mobile era offers. Yu stated that what consumers want is a digital “6th sense,” basically the “augmentation of human ability.”  The smartphone experience is also becoming the expectation in other device categories.  Lama Nachman, principal engineer at Intel Labs, continued this thought with a presentation on “Context is Everything,” stating that Intel wants to fundamentally transform the relationship between humans and computers with “context” — for communication, introspection, meetings, health, and more.  She said that the platform implications of context include: “always-on” sensing and computing, low-power sensors and I/O, effective workload partitioning, and security and privacy.

Dale Ford, VP and chief analyst at IHS, stated that the semiconductor market growth continues its cyclicality, with September 2012 beginning a new cycle that will peak in the second half of 2014.  Ford said that capital expenditures declined by 9 percent in 2012 and an additional 3.7 percent in 2013, with Intel and Samsung transitioning existing capacity for use on next-generation technology. Pablo Temprano, senior director at Samsung Semiconductor, also stressed that a transformation is in progress. Discussing memory growth and investment in the mobile era, he said that Mobile is driving the Cloud (2013 Capex at $10 billion for just top 4: Google, Microsoft, Amazon, Facebook). The total memory Capex Consensus forecast is $16 billion for 2014.

Finally, Rod Morgan, VP at Micron Technology, said that an increasingly connected lifestyle is driving memory requirements with mobile multi-functional devices, with embedded sensors and significantly greater memory consumption. Fast growing memory in a highly interconnected world demand is split across multiple sub-segments. The $16.4 billion mobile memory segment is a portion of the overall memory market (RAM $31.0 billion; Flash $26.6 billion). Citing the reliability, technology and security requirements of these embedded mobile device microelectronics, Morgan called for greater supply chain collaboration to enable the network infrastructure be successful. He said, “The pace at which we enable the infrastructure will determine the speed of innovation.”

Conference speakers on Day 2 and Day 3 of ISS will discuss how these and other mega-trends are enablers for future growth in Pervasive Computing.

The SEMI Industry Strategy Symposium (ISS) examines global economic, technology, market, business and geo-political developments influencing the semiconductor processing industry along with their implications for your strategic business decisions. For more than 35 years, ISS has been the bellwether semiconductor conference for senior executives to acquire the latest trend data, technology highlights and industry perspective to support business decisions, customer strategies and the pursuit of greater profitability.  For information on SEMI, visit: www.semi.org.

By Christian Gregor Dieseldorff and Dan Tracy, SEMI Industry Research & Statistics Group

The rock band Supertramp titled its fourth album “Crisis? What Crisis?”  The title of the album, released in 1975, reflected the economic situation during that time. Back in 1973, OPEC proclaimed an oil embargo causing oil prices to soar; the stock market crashed and idled from January 1973 to December 1974, with the Dow Jones losing over 45 percent in 699 days; and a global recession put an end to the general post-World War II economic boom. This recession lasted from 1973 to March 1977, although the effects on the U.S. were felt into Ronald Reagan’s first term.  At that time, the semiconductor industry was in its infancy.

Worldwide, the semiconductor industry growth rate back in 1973 was about 30 percent and slipped into 1975 with negative sales growth rates. Following a recovery in the late 1970s into the 1980s, the next negative growth for the semiconductor industry occurred in 1985 with a -17 percent decline in revenue. Another year of decline includes the -8 percent slide in 1998, surrounded by the Asian financial crisis in 1997 and the Russian financial crisis in 1998. The largest drop for the semiconductor industry, however, occurred in 2001 with the burst of the dot-com bubble, causing revenues to fall to -32 percent. More recently, the housing bubble crisis and the European sovereign debt crisis resulted in a revenue decline of -9 percent in 2009, certainly not as severe as in 2001 though the industry was on edge for a number of quarters as uncertainty reigned throughout the global economy

Comparing the 2001 crisis to the most recent crisis suggests a paradigm change for the semiconductor industry has transpired. Before 2009, capacity additions corresponded closely to fab equipment spending.  These days, much more money is spent on upgrading existing facilities, while new capacity additions are much lower.  In fact, the pace of new capacity additions has dropped to levels previously seen only during an economic crisis.

2013 Installed Capacity Growth Mimics Economic Crisis Behavior

When SEMI’s May fab database report was released, fab capacity was expected to increase 2.4 percent in 2013.  By August, this was revised down.  New data have caused a further revision down to 1.9 percent for 2013 (see Figure 1). The SEMI World Fab Forecast Report data also support lower expectations for capacity expansion in 2014 (5.4 percent predicted in May, down to 3.9 percent in August, and now to 3.3 percent in November). Most likely, device makers seek to avoid oversupply and a drop in selling prices for devices.

CHRIS Graphic 1--FINAL

Figure 1: Installed capacity for Front End facilities over time (without Discretes)

Looking at the trends in the last 18 years, capacity growth rate in 2013 is at levels seen during an economic crisis, but what crisis exists?

Semiconductor revenues for 2013 are expected to grow 4 to 6 percent, and 2014 expectations are also positive, mirroring other upward looking market indicators such as auto sales (at a six year high in September 2013), the Dow Jones (at a record high of 16,000 points in December 2013), and expansion of the U.S. GDP (up 4.1 percent in the 3rd quarter, as of mid-December 2013). So, why the low rate in capacity expansions?

The last time installed capacity growth rate was under 2 percent was during the 2009 economic crisis, and before that, in 2001.  Even when impacted by the 1997 Asian Financial Crisis and the 1998 Russian Financial Crisis, capacity growth rates did not fall below 4 percent.  So in examining history, capacity expansion in 2013 has behaved as if there were an economic crisis, despite no new crisis. In addition, SEMI (Figure 1) shows that addition of new capacity in 2014 will rank this year at the 4th lowest level over the past 18 years.

Another Paradigm: More Fab Equipment Spending for Existing Facilities

Examining historic trends for equipment spending, most fab equipment spending before the 2009 crisis was going towards adding new capacity.  After 2009, while fab equipment spending recovered, new capacity additions trended below pre-2009 growth rates (see Figure 2).

CHRIS Graphic 2--FINAL

Figure 2: Fab equipment spending (new and used) for Front End facilities vs change rate of installed capacity.

In the five years between 2003 and 2007, the growth rate of new capacity increased from 6% in 2003 to 20% growth in 2007 (almost doubling the fab capacity in 5 years), according to the SEMI World Fab Forecast Report. This was driven mainly by DRAM and NAND companies in Korea, Taiwan and China. After the 2009 economic crisis, however, growth of new capacity dropped from 7 percent in 2010 to about 4 percent in 2014, thus with the expected capacity addition of  only 17 percent in the five years from 2009 to 2014. Especially after 2009 we observed a number of company consolidations (Elpida, Powerchip, Rexchip), restructuring or change of direction (Promos, SMIC and a number of Japanese device makers), and even bankruptcy (Qimonda).

Increasing Spending for Upgrade Projects

Since the 2009 crisis, expenditures on upgrading existing equipment have grown sharply.  Expansion projects, such as new fabs still account for the majority of fab equipment spending, but in lower proportions than in the past (see Figure 3).

CHRIS Graphic 3--FINAL

Figure 3: Fab Equipment Spending for new and used equipment (Front End) for expansion projects and upgrade projects.

Costs for adding new equipment to a new facility are typically higher than upgrading some of the existing equipment. The number of companies building and equipping fabs continues to shrink through consolidation into larger companies as leading-edge technology upgrades become more expensive.  Deployment of some leading-edge technology has begun to slow as NAND and DRAM industry bit growth moderates.  For example, the cost per wafer to upgrade NAND to 3D generation may be twice as much as it was for 20nm class upgrades.

The New Paradigm

According to SEMI, the two industry segments predicted to add the most capacity, based on demand, are foundries and NAND in 2013 and 2014. Dedicated foundries grew at a steady 10 percent in 2013, and will add another 8 to 10 percent in 2014.  For the second largest segment, NAND, which lost about 4 percent of capacity in 2012, capacity rose 10 percent in 2013 and will add another 5 to 8 percent in 2014. Other segments, such as DRAM, Analog, and Logic, are not expected to add new capacity in 2013 and 2014. MPU may add some new capacity by 2014.  For more information, visit  www.semi.org/MarketInfo/FabDatabase and www.semi.org/en/Store/MarketInformation/fabdatabase/ctr_027238.

Top makers of mobile phones, Samsung and Apple, are major drivers for the industry. For example, after six years, Apple finally unlocked a crucial deal for iPhone sales in China with 760 million potential customers, twice the U.S. market. This will inspire greater capacity additions such as for foundries and NAND.

Even in 2014, when the top two segments, foundry and NAND, are adding new capacity in upper single digits, the overall fab capacity  growth rate globally is still among the five lowest in 18 year history meaning that other segments add little or no new capacity, or focus on upgrading process technology.

Crisis? What Crisis? The year 2013 was an unusual year. Compared to historical trends, globally new capacity growth appeared as in a year of economic crisis but there was none, and 2014 will be yet another year of minimal fab capacity expansion overall for the industry. Sectors that serve the leading edge for mobile devices will add the most capacity; other sectors reflect the lower growth observed across the broader semiconductor industry since the 2010 recovery.

The SEMI World Fab Forecast lists about 1,150 facilities.  Sixty-seven of these (with various probabilities) have started or will start volume production in 2013 or later. The report lists major investments (construction projects and equipping) in 206 facilities and lines in 2013, and 180 facilities and lines in 2014. Visit www.semi.org/MarketInfo/FabDatabase.

North America-based manufacturers of semiconductor equipment posted $1.24 billion in orders worldwide in November 2013 (three-month average basis) and a book-to-bill ratio of 1.11, according to the November EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.11 means that $111 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in November 2013 was $1.24 billion. The bookings figure is 10.1 percent higher than the final October 2013 level of $1.12 billion, and is 72.3 percent higher than the November 2012 order level of $718.6 million.

The three-month average of worldwide billings in November 2013 was $1.11 billion. The billings figure is 4.0 percent higher than the final October 2013 level of $1.07 billion, and is 22.4 percent higher than the November 2012 billings level of $910.1 million.

“The continuing rise in equipment bookings clearly points to year-end order activity that is substantially stronger compared to one year ago,” said Denny McGuirk, president and CEO of SEMI.  “This trend supports the current outlook showing a rebound in equipment spending for 2014.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

June 2013

1,213.7

1,334.2

1.10

July 2013

1,204.0

1,207.2

1.00

August 2013

1,081.9

1,063.9

0.98

September 2013

1,020.9

992.8

0.97

October 2013 (final)

1,071.0

1,124.5

1.05

November 2013 (prelim)

1,113.6

1,237.9

1.11

Source: SEMI, December 2013

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The SEMI Book-to-Bill report is one of three reports included with the Equipment Market Data Subscription (EMDS).

Intel ends 2013 with a bang


December 18, 2013

ABI Research verified that Intel has a leading position in the mobile processor technology race; launching the first 22nm mobile application processor. The 22nm quad-core application processor (Intel Z3740D) was found in a Dell tablet that was recently launched for the Christmas season.

Core transistor

Core transistor

“2013 saw a number of new processor launches with 32nm and 28nm technology (most from fabless companies) but Intel has used one of its core advantages [process technology] to pass them all,” Jim Mielke, VP of engineering at ABI Research, commented. “The 22nm process node used for the Z3740D is not just the smallest geometry in a mobile device today; it also introduces a new transistor. The core transistor structure used in the 22nm Z3740D is quite different than structures used in previous generations. The core transistor found in the device ABI Research analyzed (picture attached) has a gate that surrounds source/drain diffusion fins on three sides giving it the name tri-gate or 3D transistor.

The introduction of the 1.86GHz processor in a Dell product also hints to Dell renewing its commitment to the tablet market. Being first to introduce products with leading edge technology, like the Z3740D 22nm processor, is a good start for the company. Key sensors and interface devices found in the tablet included Knowles MEMS microphones, STM sensors and sensor hub, and Synaptics touch screen controller.

These findings are part of ABI Research’s Teardown Services (https://devices.abiresearch.com/). A complete teardown of the Dell Tablet with the Intel Z3740D and accompanying chips is available from ABI Research.

Mindspeed Technologies, Inc., a supplier of semiconductor solutions for network infrastructure, today announced that it has signed a definitive agreement to sell the assets of its wireless infrastructure business unit to Intel Corporation. The asset sale was contemplated by the agreement and plan of merger with M/A-COM Technology Solutions Holdings, Inc., announced on November 5, 2013.

“We are excited that our wireless infrastructure business is being incorporated into Intel,” commented Raouf Y. Halim, chief executive officer of Mindspeed.

The parties expect the transaction to close in February 2014 subject to satisfaction or waiver of various closing conditions. Morgan Stanley & Co. LLC acted as financial advisor to the board of directors of Mindspeed.

Read more: MACOM successfully completes tender offer for Mindspeed Technologies