Category Archives: Metrology

TSI Semiconductors, LLC, a specialty foundry services company offering flexible technology development and high-quality manufacturing solutions, today announced that Deborah Harvey has joined the company in the newly created position of executive vice president of Worldwide Foundry Sales.

Harvey brings more than 25 years of semiconductor sales, marketing and engineering work experience, having built high-performing organizations that specialize in customer engagement and growth with fabless, fab-lite and application-specific integrated circuit (ASIC) companies. She served for nine years as sales director at TSMC North America. She also was a director and general manager for the Americas’ Consumer Market Segment for Philips Semiconductors and before that was vice president of sales/regional sales manager with VSLI Technology, which was acquired by Philips in 1999. Earlier in her careers, she worked at AMD, where she partnered directly with OEM customers.

“We created this new role to enable TSI Semiconductors to grow, thrive and expand as a specialty foundry,” said Sagar Pushpala, CEO of TSI Semiconductors. “Deborah brings a wealth of global experience and will strengthen our existing capabilities in sales and marketing management. She has a proven track record of success as a leader and team builder, and will be a tremendous asset as we develop our integrated worldwide sales team.”

Harvey earned a Bachelor of Science degree in Electronics from Oakland University and a Master in Business Administration from Pepperdine University.

By Christian Gregor Dieseldorff, SEMI Industry Research & Statistics Group

SEMI’s World Fab Forecast report, published in November, predicts that fab equipment spending will decline about -9 percent (to US$32.5 billion) in 2013 (including new, used and in-house manufactured equipment).  Setting aside the used 300mm equipment GlobalFoundries acquired from Promos at the beginning of 2013 (NT$20-30 billion), fab equipment spending sinks further, to -11 percent in 2013.  The previous World Fab Forecast in August predicted an annual decline of just -1 percent (-3 percent without the used Promos 300mm equipment).

Fab equipment spending slowed in the third quarter much more than anticipated. The fourth quarter is also expected to be slower than previously thought, but will remain the strongest quarter of 2013. See figure 1.

Figure 1: Fab equipment spending for Front End facilities by quarter

Figure 1: Fab equipment spending for Front End facilities by quarter

2011 Still Record Year but 2014 Closing in

After two years of decline, the 2014 wafer fab equipment market is expected to grow over 30 percent.  Taiwan will be the strongest spending region with over US$9 billion, while Korea and the Americas will each spend at least US$6 billion each, and China and Japan will each spend around US$4 billion.

A growth rate of over 30% brings 2014 close to 2011 spending for wafer fab equipment. Comparing the actual spending numbers for 2014 and 2011, spending in 2014 is expected to be slightly below 2011 levels, about US$39.7 billion for 2011 compared to US$39.5 billion projected for 2014.

Fab Equipment Spending Patterns: Predicting the Next Slow Down?

The industry has displayed a predictable pattern for most of the past 15 years with regards to fab equipment spending: following two years of negative growth, there have been typically two subsequent years of positive growth. See figure 2.

Figure 2: Growth rates of fab equipment spending (2010 deliberately cut off in order to emphasize)

Figure 2: Growth rates of fab equipment spending (2010 deliberately cut off in order to emphasize)

According to the SEMI World Fab Forecast, in 2012 and 2013 the fab equipment market contracted, while the next two years, 2014 and 2015, are expected to be positive. The same scenario occurred from 2008 to 2011. After 2005, just a single year of a small decline, 2006 and 2007 showed growth. The same scenario occurred from 2001 to 2004. This pattern is not new and has been observed by many analysts.  However, over these 15 years, the industry has never experienced three consecutive years of growth or three years of decline according to SEMI database tracking.  At this point, the pattern points to expected growth in 2015, between 8 and 12 percent.  If the pattern holds, another decline will occur in 2016.

2013 — a Maverick Year

Semiconductor revenue growth is usually followed by more equipment spending, with revenue and capex typically riding the same rollercoaster.  This is not the case for 2013 as semiconductor revenues are expected to grow — although by single digits — equipment spending will not. See figure 3.

Figure 3: Change rates of semiconductor revenue and fab equipment spending (2010 deliberately cut off in order to emphasize)

Figure 3: Change rates of semiconductor revenue and fab equipment spending (2010 deliberately cut off in order to emphasize)

As demonstrated by the above chart, positive semiconductor revenue growth led to positive growth for fab equipment spending (except in 2005), while negative revenue years led to contractions in fab equipment spending. Industry consensus points to about 6 percent semiconductor revenue growth in 2013, though fab   equipment spending will contract. With the expected growth in semiconductor revenues for 2014, SEMI’s World Fab Forecast data support much stronger growth for fab equipment spending in 2014. The drop in 2013 may be explained by delays in ramping next generation products and a slower pace of new capacity addition.

Fab Construction Projects Strong in 2013 but Slowing

Across the industry, there are 40 major construction projects on-going in 2013, and 28 are predicted for 2014. Construction spending growth for 2013 is about 40 percent (US$7.5 billion). By 2014, this will drop by -15 percent (US$6.4 billion).  The largest construction projects already underway or expected to start soon are Samsung S3 (Line 17), Flash Alliance Fab 5 phase 2, possibly Globalfoundries Fab 8.2, Intel D1X module 2, and TSMC with four facilities. The World Fab Forecast report shows details per fab by quarter.

SEMI’s data support strong equipment spending in both 2014 and 2015, while construction spending is expected to decline in both years, and new capacity additions remain below 4 percent in 2014 and most likely in 2015 as well.

The SEMI World Fab Forecast lists about 1,150 facilities.  Since the last fab database publication at the end August 2013 SEMI has made 301 updates to 257 facilities (includiing Opto/LED fabs) in the database. The latest edition of the World Fab Forecast lists 1,149 facilities (including 250 Opto/LED facilities), with 67 facilities with various probabilities starting production this year and in the near future.Learn more about the SEMI fab databases at: www.semi.org/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats

Worldwide sales of semiconductors reached $27.06 billion for the month of October 2013, a 7.2 percent increase from the same month last year when sales were $25.24 billion, and 0.8 percent higher than last month’s total, according to The Semiconductor Industry Association (SIA). October marked the eighth consecutive month of increasing sales and the industry’s first-ever month above $27 billion in sales. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a new WSTS industry forecast projects that the industry will reach its highest-ever annual sales total in 2013, and continued growth is projected for 2014 and 2015.

“With eight straight months of growth and a new monthly sales record in October, the global semiconductor industry is on track to exceed $300 billion in annual sales for the first time ever in 2013,” said Brian Toohey, president and CEO, Semiconductor Industry Association. “The industry is projected to maintain solid growth for the remainder of 2013 and into 2014, led largely by the Americas, which has remained well ahead of last year’s pace. Congress and the Administration can help maintain and strengthen growth by resolving fiscal uncertainty and investing in scientific research.”

Regionally, sequential monthly sales increased in the Americas (3.3 percent), Europe (1.7 percent), and Asia Pacific (0.1 percent), but decreased in Japan (-1.4 percent). Compared to October 2012, sales increased in the Americas (20.1 percent), Europe (8.6 percent), and Asia Pacific (7.4 percent), but fell in Japan (-12.1 percent), in part due to the devaluation of the Japanese yen.

SIA also today endorsed the WSTS Autumn 2013 global semiconductor sales forecast. WSTS projects the industry’s worldwide sales will reach $304.3 billion in 2013, which would be the industry’s highest-ever annual sales total and a 4.4 percent increase from the 2012 total. WSTS predicts year-over-year increases for 2013 in the Americas (10.3 percent), Asia Pacific (7.2 percent), and Europe (4.3 percent), but a sharp decline in Japan (-14.5 percent).

Beyond 2013, the industry is expected to grow steadily across all regions, according to the WSTS forecast. WSTS predicts 4.1 percent growth globally for 2014 ($316.6 billion in total sales) and 3.4 percent growth for 2015 ($327.3 billion). WSTS expects the wireless and automotive end markets to grow faster than the total market. WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

Worldwide semiconductor revenue totaled $315.4 billion in 2013, a 5.2 percent increase from 2012 revenue of $299.9 billion, according to preliminary results by Gartner, Inc. The top 25 semiconductor vendors’ combined revenue increased 6.2 percent, a significantly better performance than the rest of the market, whose revenue growth was 2.9 percent. This was, in part, due to the concentration of memory vendors, which saw significant growth in the top ranking.

Read more: Will 2014 be the next Golden Year?

“After a weak start to 2013 due to excess inventory, revenue growth strengthened in the second and third quarters before leveling off in the fourth quarter. Memory, in particular DRAM, led this growth, not due to strong demand, but rather weak supply growth,” said Andrew Norwood, research vice president at Gartner. “In fact, the overall market faced a number of demand headwinds with PC production declining 9 percent and the premium smartphone market showing signs of saturation, with growth tilting toward lower-priced, entry-level and midrange smartphone models. These demand headwinds become very visible when looking at revenue growth outside of memory, where the rest of the semiconductor market could only muster 0.4 percent growth.”

Intel recorded a 2.2 percent revenue decline (see Table 1) as strong performance in its data center and embedded systems group was not enough to offset a declining PC market, and limited traction and declining prices for its tablet and smartphone solutions. However, the company maintained the No. 1 market share position for the 22nd consecutive year, capturing 15.2 percent of the 2013 semiconductor market, down slightly from its peak of 16.5 percent in 2011.

Table 1. Top 10 Semiconductor Vendors by Revenue, Worldwide, 2013 (Millions of Dollars)

Rank 2012 Rank 2013 Vendor

2012 Revenue

2013 Estimated Revenue

2012-2013 Growth (%)

2013 Market Share (%)

1

1

Intel

49,089

48,030

-2.2

15.2

2

2

Samsung Electronics

28,622

29,644

3.6

9.4

3

3

Qualcomm

13,177

17,276

31.1

5.5

7

4

SK Hynix

8,965

12,836

43.2

4.1

10

5

Micron Technology

6,917

11,814

70.8

3.7

5

6

Toshiba

10,610

11,467

8.1

3.6

4

7

Texas Instruments

11,111

10,561

-5.0

3.3

8

8

STMicroelectronics

8,415

8,060

-4.2

2.6

9

9

Broadcom

7,846

8,011

2.1

2.5

6

10

Renesas Electronics

9,152

7,761

-15.2

2.5

    Others

146,008

149,930

2.7

47.5

    Total

299,912

315,390

5.2

100

Source: Gartner (December 2013)

As a group, memory vendors outperformed the rest of the semiconductor industry.

“Within the memory market DRAM was in the midst of a strong rebound following two years of revenue decline; the recovery started at the end of 2012 when the market was moving back into an undersupply due to lack of new capacity resulting in commodity DRAM pricing more than doubling during the year,” said Mr. Norwood.

Read more: Expect big changes to the 2013 Top 20 Semi Supplier ranking

SK Hynix and Micron Technology benefited the most from the strong memory market, propelling them both into the top five for the first time. SK Hynix’s revenue increased 43.2 percent, the strongest organic growth in the top 25. The revenue growth was due to its exposure to the booming commodity DRAM market as the industry entered an undersupply and pricing surged. Revenue could have been higher had it not been for a major fire at the company’s DRAM fab in Wuxi, China, which accounted for 50 percent of the company’s DRAM production.

Micron Technology saw the biggest revenue growth among the top 25 due to its midyear acquisition of Elpida Memory. The company benefited from the recovery in commodity DRAM pricing and strong growth for low-power DRAM where Elpida is strong. In NAND flash, Micron was able to aggressively push its NAND into the computing segment, which is projected to represent roughly 60 percent of its demand this year. Had all of Elpida’s revenue been included in the Micron number — rather than just the second half — then the U.S. company would have jumped ahead of rival SK Hynix in the rankings.

Vendor Relative Industry Performance

Market share tables by themselves give a good indication of which vendors did well or badly during a year, but they do not tell the whole story. More often than not, a strong or weak performance by a vendor is a result of the overall market growth of the device areas that the vendor participates in. Gartner’s Relative Industry Performance (RIP) index measures the difference between industry-specific growth for a company and actual growth, showing which are transforming their businesses by growing share or moving into new markets.

Market leaders in Gartner’s RIP index were MediaTek and Qualcomm, two mobile handset suppliers, which grew 35 percent and 28 percent better than their respective markets. MediaTek accomplished this by focusing on the low- and mid-tier handset segments in China and other emerging markets, a segment of the handset market that is still booming, while Qualcomm dominated the Tier 1 OEMs and high-end segments and wrestled share away from its competitors.

On the other hand, four companies underperformed expectations by more than 10 percent — Rohm, Renesas Electronics, Samsung Electronics and Sony. The three Japanese vendors were hit hard by the rapid devaluation of Japanese currency. While depreciation of a currency is generally considered as a positive factor for companies to be more competitive when exporting their products, the reality is that the main customers of Japanese semiconductor vendors are typically domestic, and pricing is mostly based in Japanese yen. As the result, yen-based revenue suffers when converted to dollars.

Samsung Electronics maintained the No. 2 position for the 12th year in a row but its overall growth was below the market and its performance in the RIP index was poor. Three reasons are behind this. First, Gartner excludes revenue generated from the fabrication of the latest chips for Apple as this is foundry revenue and not merchant sales, so they are captured separately. Secondly, DRAM revenue growth was less than the market due to Samsung’s low exposure to commodity DRAM, which saw a strong price rebound, and the fact that it faced increased competition in low-power DRAM where it is strong. Thirdly, the company’s own handset business reduced its reliance on the Exynos processor and baseband processor from Samsung’s semiconductor operation in favor of competitor Qualcomm.

Additional information is provided in the Gartner report “Market Share Analysis: Preliminary Total Semiconductor Revenue, Worldwide, 2013.” The report provides the worldwide market share rankings for the top 25 semiconductor vendors in 2013. The report is available on Gartner’s website.

SEMI projects that worldwide sales of new semiconductor manufacturing equipment will contract 13.3 percent to $32.0 billion in 2013, according to the SEMI Year-end Forecast, released here today at the annual SEMICON Japan exposition.  In 2014, all regions except Rest of World are expected to have strong positive growth, resulting in a global increase of 23.2 percent in sales. 2015 sales are expected to continue to grow — increasing 2.4 percent with Japan, Europe, Korea, China, and Rest of World regions registering positive growth.

The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to decrease 10.7 percent in 2013 to total $25.1 billion, on par with 2004 spending levels. The forecast predicts that the market for assembly and packaging equipment will decline by 22.1 percent to $2.4 billion in 2013. The market for semiconductor test equipment is forecasted to decline by 20.7 percent, reaching $2.8 billion this year. The “Other Front End” category (fab facilities, mask/reticle, and wafer manufacturing equipment) is expected in decrease 25.2 percent in 2013.

Korea, Taiwan, and North America remain the largest spending regions, though of the three only Taiwan is expected to show an increase in spending for 2013. According to SEMI, in 2013, Taiwan will reach equipment sales of $10.2 billion, with North American sales totaling $5.7 billion and Korea sales registering $5.5 billion. Regions experiencing the steepest declines in spending in 2013 include: Korea, North America, and Europe. The equipment market in Rest of World, primarily Southeast Asia, is expected to increase 3.2 percent.

The SEMI Semiconductor Manufacturing Equipment Sales Forecast data (below) is given in terms of market size in billions of U.S. dollars and percentage growth over the prior year:

IR&S-Graphic-Dec-2013-SGU

Semiconductor Industry Association (SIA) president and CEO Brian Toohey has announced his plans to leave the association in 2014 to join New Hampshire-based DEKA Research & Development Corporation as executive vice president. At the Board’s request, Toohey has agreed to remain at SIA through mid-2014 until a successor is in place to ensure a smooth transition.

“It has been a true honor and distinct privilege to represent this amazing industry and advocate for policies that have strengthened the semiconductor sector and the overall U.S. economy,” said Toohey. “Industry participation in SIA has never been greater and the U.S. semiconductor industry has continued to drive America’s economic strength, national security, and global competitiveness. I sincerely appreciate the support of the SIA Board of Directors and staff and am confident SIA is well positioned for the future.”

In his new role at DEKA, Toohey will continue to pursue his focus on innovation by guiding the commercialization of new medical, energy, and water purification technologies around the world.  Prior to joining SIA, Toohey served as senior vice president of the Pharmaceutical Research and Manufacturers of America (PhRMA) and held senior management positions at AirCell, Inc., Iridium LLC, and the U.S. Department of Commerce.

“Brian Toohey joined SIA at a time of transition for the association and our industry,” said Dr. John E. Kelly III, IBM senior vice president and director of research, and 2014 SIA chairman. “During his tenure, SIA has built a top-notch team, and achieved a number of policy successes that have driven growth, enhanced productivity, and will spur future innovation. We greatly appreciate Brian’s outstanding leadership and service to our industry and wish him success as he moves to a new role.”

During Toohey’s tenure at SIA, the association successfully led efforts to strengthen the industry’s position on international trade issues, negotiate balanced EPA regulations, update export controls regulations, reshape key technology partnerships with government and universities, increase programs to combat counterfeiting, and ensure semiconductor priorities are fully reflected in ongoing immigration and tax reform efforts.

“SIA has a long history of driving policies and programs to enhance the competitiveness of the U.S. chip industry,” said Brian Krzanich, Intel Corporation CEO, and 2014 SIA vice-chairman. “Under Brian’s leadership the association successfully advanced that mission. I commend him on a job well done, and the positive impact that he has had on our industry.”

“Brian has been a terrific leader of our association,” said Rich Templeton, chairman, president and CEO of Texas Instruments and member of the SIA Board of Directors. “He has strengthened SIA’s brand with policymakers in the United States and abroad and is leaving the association well positioned for future success.  His counsel to the Board will be missed.”

The SIA Board will initiate a search process led by Altera Corporation chairman, president and CEO John Daane to appoint a new CEO.

North America-based manufacturers of semiconductor equipment posted $1.12 billion in orders worldwide in October 2013 (three-month average basis) and a book-to-bill ratio of 1.05, according to the October EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.05 means that $105 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in October 2013 was $1.12 billion. The bookings figure is 13.3 percent higher than the final September 2013 level of $992.8 million, and is 51.4 percent higher than the October 2012 order level of $742.8 million.

The three-month average of worldwide billings in October 2013 was $1.07 billion. The billings figure is 4.9 percent higher than the final September 2013 level of $1.02 billion, and is 8.7 percent higher than the October 2012 billings level of $985.5 million.

“Both equipment orders and billings improved in the October data, resulting in a book-to-bill ratio returning above parity,” said Denny McGuirk, president and CEO of SEMI.  “Order activity is well above the figures reported one year ago and point towards on-going investments in advanced process technologies for NAND Flash, microprocessor, and foundry.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

May 2013

1,223.4

1,321.3

1.08

June 2013

1,213.7

1,334.2

1.10

July 2013

1,204.0

1,207.2

1.00

August 2013

1,081.9

1,063.9

0.98

September 2013 (final)

1,020.9

992.8

0.97

October 2013 (prelim)

1,071.1

1,124.5

1.05

Source: SEMI, November 2013

Update on 450mm SEMI Standards


November 13, 2013

By James Amano, senior director, International Standards, SEMI (October 23, 2013)

SEMI Standards task forces are working on encouraging the industry to collaborate on key issues like the technical parameters for 450mm silicon wafers, physical interfaces, carriers, assembly and packaging. To date, SEMI has 13 task forces working on 450mm and has published nineteen (19) 450mm standards with 14 more in the pipeline.

Here’s an update on the newly-published SEMI 450mm specifications as well as the other 450mm SEMI Standards.

450mm Polished Single Crystal Silicon Wafer Specification

SEMI M1-1013 – Specifications for Polished Single Crystal Silicon Wafers was revised and published in April 2013. The new edition includes a significant addition of a 450mm polished single crystal polished wafer specification and the guide for specifying 450mm wafer for 32, 22, and 16 nm technology generation.  Today, the specification requirements for 450mm diameter wafers are much more extensive that those of previous smaller diameters. Standardized parameters include edge profile, warp, conductivity, dopant, and surface conditions.

To provide some context about history, SEMI M1 was originally published in 1980s. The first wafer specification was 50mm (2 inch) or about the width of credit card. Over the years, wafers got larger and larger.  In the early 1990s, the wafer size was increased to 200mm (8 inch), and in 1997, the 300mm (12 inch) wafer was standardized. A 300mm wafer may yield 2.25 times more chips per wafer than an older 200mm wafer.  For 450mm, 5 times more chips per wafer can be squeezed out of a wafer compared to that of 200mm wafer, yet the process of making the chip takes about the same amount of time to go through the factory.  More chips are produced per wafer, which in turns reduces the cost.  Thus, wafer manufacturers and users are moving ahead to formalize a specification for 450mm wafer.  The International Polished Wafer Task Force will continue to refine associated parameters to adapt to the dynamic semiconductor industry.

Wafer Specification Standard

  • SEMI M1-1013, Specifications for Polished Single Crystal Silicon Wafers

SEMI M1 provides the essential dimensional and certain other common characteristics of silicon wafers, including polished wafers as well as substrates for epitaxial and certain other kinds of silicon wafers.

Front Opening Shipping Box (FOSB) Standards

  • SEMI M80-0812, Mechanical Specification for Front-Opening Shipping Box Used to Transport and Ship 450 mm Wafers
  • SEMI E162-0912, Mechanical Interface Specification for 450mm Front-Opening Shipping Box Load Port

SEMI M80 specifies the FOSB used to ship 450mm wafers from wafer suppliers to their customers (typically IC manufacturers), while maintaining wafer quality. SEMI E162 defines the basic interface dimensions of a load port on the semiconductor manufacturing equipment, where 450mm FOSB can be loaded and unloaded. The intention of SEMI E162 is to define a set of requirement and features to enable interoperability of load ports and carriers without limiting innovative solutions.

Assembly and Packaging Standards

  • SEMI G88-0211, Specification for Tape Frame for 450mm Wafer
  • SEMI G92-0412, Specification for Tape Frame Cassette for 450mm Wafer
  • SEMI G95-0613, Mechanical Interface Specification for 450mm Load Port for Tape Frame Cassettes in the Backend Process

These Standards specify mechanical features for the 450mm wafer tape frame and cassette used between the wafer mounting process and the die-bonding process.

Guide to SEMI Standard for 450mm Wafers (Auxiliary Information)

The image below shows where 450mm standards development is taking place.

SEMI

All of the published standards in this article are available in SEMIViews. Individual standards can be purchased from the SEMI Web store using the links below.

  • SEMI M1-1013, Specifications for Polished Single Crystal Silicon Wafers
  • SEMI M49-0613, Guide for Specifying Geometry Measurement Systems for Silicon Wafers for the 130nm to 16 nm Technology Generations
  • SEMI M52-0912, Guide for Specifying Scanning Surface Inspection Systems for Silicon Wafers for the 130nm to 11nm Technology Generations
  • SEMI M62-0413, Specification for Silicon Epitaxial Wafers
  • SEMI M73-1013, Test Methods for Extracting Relevant Characteristics from Measured Wafer Edge Profiles
  • SEMI M74-1108 (Reapproved 0413), Specification for 450 mm Diameter Mechanical Handling Polished Wafer
  • SEMI M76-0710, Specification for Developmental 450 mm Diameter Polished Single Crystal Wafer
  • SEMI M80-0812, Mechanical Specification for Front-Opening Shipping Box Used to Transport and Ship 450mm Wafers
  • SEMI E83-0413, Specification for PGV Mechanical Docking Flange
  • SEMI E154-0713, Mechanical Interface for 450mm Load Port
  • SEMI E156-0710, Mechanical Specification for 450mm AMHS Stocker to Transport Interface
  • SEMI E158-0912, Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450mm Wafers (450 FOUP) and Kinematic Coupling
  • SEMI E159-0912, Mechanical Specification for Multi-Application Carrier (MAC) Used to Transport and Ship 450mm Wafers
  • SEMI E162-0912, Mechanical Interface Specification for 450mm Front-Opening Shipping Box Load Port
  • SEMI E166-0513, Specification for 450mm Cluster Module Interface: Mechanical Interface and Transport Standard
  • SEMI G88-0211, Specification for Tape Frame for 450mm Wafer
  • SEMI G92-0412, Specification for Tape Frame Cassette for 450mm Wafer
  • SEMI G95-0613, Mechanical Interface Specification for 450mm Load Port for Tape Frame Cassettes in the Backend Process

For additional information on draft documents under development, see the Standards New Activity Report Forms (SNARFs) linked below.

  • Doc. 4812, Guide for Flatness Measurement on 450mm Wafers
  • Doc. 5069, Specification for 450mm Wafer Shipping System
  • Doc. 5070A, Revision to SEMI M76-0710, Specification for Developmental 450mm Diameter Polished Single Crystal Silicon Wafers [Re: Wafer Edge Design]
  • Doc.5430A, Revision to SEMI M73-0309, Test Methods for Extracting Relevant Characteristics from Measured Wafer Edge Profiles (To include 450mm wafer edge profile parameters)
  • Doc. 5071, Revision to SEMI M76-0710, Specification for Developmental 450mm Diameter Polished Single Crystal Silicon Wafers  [Re: Back Surface Contamination and Defect Requirements]
  • Doc. 5542, Line Items Revision to SEMI M62-0413, Specifications for Silicon Epitaxial Wafers (Re: Change nanotopography value to be consistent with SEMI M1)
  • Doc. 5604, Revision of SEMI M1-1013, Specification for Polished Single Crystal Silicon Wafers (Re:  Addition of 450mm Notchless Wafer)
  • Doc. 5605, Revision of SEMI M1-1013, Specification for Polished Single Crystal Silicon Wafers (Re:  Wafers for 16nm technology generation SFQR)
  • Doc. 5654, Revision of SEMI M49-0613, Guide for Specifying Geometry Measurements Systems for Silicon Wafers for the 130nm to 16nm Technology Generations (Re: Edge exclusion reduction from 2mm to 1.5mm  at 16nm  technology generation)
  • Doc. 5655, Revision of SEMI M1-1013, Specifications for Polished Single Crystal Silicon Wafers (Re: Update 450mm wafers edge exclusion)
  • Doc. 5524, Line Item Revisions to SEMI E156-0710, Mechanical Specification for 450mm AMHS Stocker to Transport Interface
  • Doc. 5626, Line Item Revision to SEMI E154-0713, Mechanical Interface Specification for 450mm Load Port AND to SEMI E166-0513, Specification for 450 mm Cluster Module Interface: Mechanical Interface and Transport Standard (for addition of EFEM Pocket)
  • Doc. 5628, Line Item Revisions to SEMI E158-0912, Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450mm Wafers (450 FOUP) and Kinematic Coupling And SEMI E159-0912, Mechanical Specification for Multi Application Carrier (MAC) Used to Transport and Ship 450mm Wafers
  • Doc. 5632, Specification for Signal Tower for 450mm AMHS

About the Silicon Wafer, Physical Interfaces & Carriers, and Assembly & Packaging Committees

Physical Interfaces & Carriers Committee

This committee develops specifications to enhance the manufacturing capability of the semiconductor industry, specifically addressing mechanical, electrical, and special equipment specifications; and material movement integration, including substrate support and containment structures. For more information on committee activities, please contact Michael Tran at [email protected] or Hiro’fumi Kanno at [email protected].

Silicon Wafer Committee

This committee develops international standards fulfilling the requirements for commercial silicon wafers. Silicon Wafer Committee standardization includes specifications and guides for silicon wafers, test methods for silicon wafer quality and geometry, shipping box related topics, wafer ID related topics, and business related topics to support smooth communication between silicon suppliers and customers. For more information on committee activities, please contact Kevin Nguyen at [email protected]  or Hiro’fumi Kanno at [email protected].

Assembly & Packaging Committee

This committee develops specifications to enhance the manufacturing capability of the semiconductor industry as it relates to the packaging and assembly of the semiconductor chip, including the materials, piece parts, and interconnection schemes, and unique packaging assemblies that provide for the communication link between the semiconductor chip and the next level of integration. This committee also discusses total infrastructure for Chip to Final Set system and processes such as Testing and Design Software, Transportation Tools, Reliability and Traceability issues, EHS issues, Inspection methods, etc. For more information on committee activities, please contact Paul Trio at [email protected] or Naoko Tejima at [email protected].

For general information on 450mm and SEMI Standards, contact James Amano at [email protected].

Additional info on 450 Standards: www.semi.org/node/42416

For more information on 450, visit 450 Central (www.semi.org/450).

The Semiconductor Industry Association (SIA) today announced that Mike Splinter, former CEO and current executive chairman of the board of directors at Applied Materials, has been named the 2013 recipient of SIA’s highest honor, the Robert N. Noyce Award. SIA presents the Noyce Award annually in recognition of a leader who has made significant contributions to the U.S. semiconductor industry in technology or public policy.

“From the age of 18 when he built his first transistor, Mike Splinter has developed his passion for technology into a distinguished career as a determined leader and tireless advocate for the U.S. semiconductor industry,” said Ajit Manocha, 2013 chairman of the SIA board of directors. “Mike’s vision and leadership have helped advance some our industry’s most important initiatives – such as STEM education, semiconductor research, and others – that have strengthened the semiconductor sector and our economy. On behalf of the SIA board of directors, it is a pleasure to honor Mike with SIA’s Robert N. Noyce Award in recognition of his tremendous accomplishments.”

Splinter is a 40-year veteran of the semiconductor industry. He was named president and CEO of Applied Materials and a member of its board of directors in 2003, and became chairman of the board in 2009. With a portfolio of more than 10,000 patents, Applied is a key supplier of technologies that help customers build the advanced microchips and displays essential to today’s top-selling electronic devices. Before joining Applied, Splinter was a senior executive at Intel Corporation, leading both the Technology and Manufacturing Group and the sales and marketing organization during his 20-year tenure.

Splinter is a strong advocate for science, technology, engineering and math (STEM) education. He serves on a number of national and international public policy bodies, and supports local community education and other philanthropic initiatives in his leadership role with the Applied Materials Foundation. Under his direction, Applied has remained a standard bearer for corporate social responsibility, regularly ranking among the most philanthropic corporations headquartered in Silicon Valley.

Splinter began his career at Rockwell International where he managed the company’s Semiconductor Fabrication Operations. He earned Bachelor of Science and Master of Science degrees in electrical engineering from the University of Wisconsin.

“I am humbled and honored to receive this award and join a celebrated group of innovators whose accomplishments shaped the semiconductor industry,” said Splinter. “Semiconductor technology has had a transformative impact on almost every form of human activity.  As the world goes mobile, the pace of innovation continues to accelerate and the opportunities for our industry have never been greater.”

The Noyce Award is named in honor of semiconductor industry pioneer Robert N. Noyce, co-founder of Fairchild Semiconductor and Intel.

SEMI, the global trade organization representing the nano- and micro-electronic manufacturing supply chains, today announced that next year’s Plastic Electronics Conference (PE2014) will be held in Grenoble, France and alternate with Dresden, Germany in future years to better address pan-European opportunities and challenges in Plastic Electronics. Co-located with SEMICON Europa, one of the largest exhibitions in Europe, PE2014 is the ideal forum to meet technology leaders and professionals from industry, academia, and research organizations focused on developing the next-generation of plastic and organic electronics. This rotation strategy for both SEMICON Europa (www.semiconeuropa.org) and PE2014 (www.plastic-electronics.org) supports the European Union’s 10/100/20 initiative, in addition to providing increased business opportunities for both exhibitors and visitors.

The Plastic Electronics 2014 will be held at Alpexpo in Grenoble, France on 7-9 October 2014, returning to Dresden in October 2015. In addition to the keynote presentations, the conference has critical content to offer for engineers, material experts, manufacturing professionals and industry strategists. The conference will have a specific focus on heterogeneous integration of flexible and traditional electronics for innovative form factors products and applications.

This new focus on and selection of key application areas where in-roads of large area electronics is expected such as in medical, lighting, energy, automotive, fast moving consumer goods. The PE2014 conference covers all segments of the Printed Electronics industry currently driving development in this influential technology. For more information on PE2014, visit www.plastic-electronics.org.

Dr. Dominik Gronarz, CEO of OES (Organic Electronics Saxony), Europe’s leading organic-cluster, states, “Organic Electronics Saxony welcomes the alternating change between Dresden and Grenoble. Especially for the external and international visitors, this biannual rotation will make the Plastic Electronics even more attractive. Visitors have a growing interest in visiting companies and institutes on site, and the mutual relocation now offers this new and exciting opportunity. Thanks to the alternating location, our members are not only offered a further opportunity to present themselves to a new professional audience in Grenoble but also to establish new business contacts, which might differ from those made exclusively in Dresden as the sole location. This new cooperation with Grenoble allows an increased involvement of our French COLAE partners CEA-Liten in Grenoble and Plastipolis in Lyon in Plastic Electronics.”

Mrs. Isabelle Chartier, Printed Electronic program director from CEA-Liten states, “We are proud to host the next Plastic Electronics Conference fully integrated inside SEMICON Europa, here in Grenoble. The French Flexible Electronic community (from materials, equipment, institutes up to final integrators) is very active in this field and aims soon to start industrial manufacturing. In Grenoble, CEA-Liten is running PICTIC — the French Printed Electronic open technology platform — dedicated to printed processes development and products prototyping with industrials partners. CEA-Liten/PICTIC has a track record in terms of printed technology like: high performance printed OTFT: organic CMOS for analog and digital circuits (first printed ADC converter), PMOS backplanes, and printed sensors: Organic Photodiodes with the start-up ISORG and piezo pressure sensor in collaboration with ARKEMA/Piezotech.”

The Plastic Electronics 2014 is hosted by the SEMI Plastic Electronics Special Interest Group (PE-SIG), which is managed by representatives of leading industry companies, research centers and institutes. The PE-SIG of SEMI focuses its activities on Networking, roadmaps, standardization, research and statistics, conferences, exhibitions and public policy worldwide.

The Plastics Electronics Conference (www.plastic-electronics.org) showcases Europe’s most innovative companies, institutions and people.  It is an integral part of SEMICON Europa exhibition (www.semiconeuropa.org), the leading event dedicated to the future of micro- and nanoelectronics design and manufacturing in Europe. SEMICON Europa and Plastic Electronics will be held on 7-9 October 2014.