Category Archives: Metrology

Cadence Design Systems, Inc. announced today that GLOBALFOUNDRIES has collaborated with Cadence to provide pattern classification data for manufacturing processes of 20 and 14 nanometers. GLOBALFOUNDRIES is using the Cadence Pattern Classification and Pattern Matching Solutions because they enable up to four times faster design for manufacturing (DFM), which is key to improving silicon yield and predictability.

"We have integrated Cadence pattern classification technologies to classify yield detractors into pattern families based on pattern similarity, including inexact patterns, to maximize the efficiency of the pattern matching-based lithography signoff flow called DRC+," said Luigi Capodieci, fellow and senior director of DFM at GLOBALFOUNDRIES. "The innovative DRC+ signoff flow has been successfully used on several 32- and 28nm production IC designs, and we are now using it in today’s most advanced process geometries."

Cadence pattern classification technology allows GLOBALFOUNDRIES to classify hundreds of thousands of yield detractor, process hotspots, and silicon failures into easily usable pattern libraries. Cadence Pattern Search and Matching Analysis are embedded in Cadence Litho Physical Analyzer, Physical Verification System and the unified Virtuoso custom/analog and Encounter Digital Implementation System solutions. This offers GLOBALFOUNDRIES customers the flexibility to leverage the in-design signoff pattern matching and automatic fixing available in Encounter and Virtuoso, which correlates 100 percent with the full-chip signoff flow and has successfully been used on advanced node production chips.

For GLOBALFOUNDRIES customers using Cadence design tools, the silicon-proven DFM flow is easy to use and integrates seamlessly with Cadence custom, digital, and full-chip signoff flows. The integration of pattern matching-based DRC+ into the Virtuoso Layout Suite enables a powerful, correct-by-construction methodology and enables sophisticated avoidance and auto-fixing of bad patterns. Encounter Digital Implementation System has been able to accurately and quickly find and fix 100 percent of the DRC+ violations without introducing additional DRC or DRC+ violations, and has been successfully used on several 28nm designs.

Morgan Advanced Materials has joined SEMATECH’s International SEMATECH Manufacturing Initiative (ISMI), a program designed to improve semiconductor equipment manufacturing productivity, yield, and cost. Morgan Advanced Materials will help develop process variation solutions to improve availability and process control across 200mm and 300mm semiconductor manufacturing facilities, while allowing for forward-compatibility with 450mm.

As a member of SEMATECH’s Manufacturing Technology Program, Morgan will collaborate with ISMI engineers to develop and introduce new material solutions that will help to significantly extend the life of chemical mechanical planarization (CMP) pads, CMP conditioners, as well as electrostatic chucks. The partnership will further the development of processing solutions for effective CMP processing, including pad conditioning, to eliminate legacy high-volume manufacturing issues, shorten pad break-in time, and extend pad life of soft-pad textures.

“We are excited to collaborate with ISMI on innovative technology development to help address current and future technology challenges for both CMP and electrostatic chuck design,” said Daniel Manoukian, general manager of Morgan’s Allentown, PA site. “These projects will enable high-volume manufacturing of 10nm devices and improve manufacturing for current technologies. As the complexity of the technology increases, innovations in pad conditioner materials to control pad textures are essential for successful manufacturing.”

Morgan Advanced Materials’ Phoenix edge CMP conditioner uses a unique design consisting of an engineered substrate with CVD diamond coating, which produces a uniform fine-textured pad surface that is essential for advance nodes.  This unique conditioner design increases pad life by five times compared to standard gritted conditioners. Morgan expects the partnership with ISMI will help solve critical technology challenges, ultimately resulting in manufacturing advancements for the semiconductor industry.

“Electrostatic chucks are a major cost-of-ownership issue for vacuum tools and Morgan has introduced advancements in both new surface ceramics and bonding techniques, along with an entirely new style of pad conditioner," said Bill Ross, ISMI project manager. “We look forward to working closely with Morgan in a collaborative effort to find methods to develop a quicker pad break-in and increased texture control that will improve manufacturing efficiencies and cost-of-ownership.”

Morgan Advanced Materials is a global materials engineering company that offers a wide range of high specification engineered products with extraordinary properties. The company has a global presence with over 10,000 employees across 50 countries serving specialist markets in the energy, transport, healthcare, electronics, petrochemical and industrial sectors.  It is listed on the London Stock Exchange in the engineering sector.

Infineon Technologies and GLOBALFOUNDRIES Inc. today announced a joint technology development and production agreement for 40nm embedded flash (eFlash) process technology. The cooperation will focus on technology development based on Infineon’s eFlash cell design and manufacturing of automotive and security microcontrollers (MCUs) with 40nm process structures. Production of the next generation 40nm eFlash MCUs will take place at different GLOBALFOUNDRIES sites, initially in Singapore with subsequent transfer to its site in Dresden, Germany.

“Next generation embedded Flash microcontrollers with 40nm process structures will further enhance our competitive strength in the automotive as well as chip card and security markets,” says Arunjai Mittal, Member of the Management Board of Infineon Technologies. “We trust in GLOBALFOUNDRIES with their excellent manufacturing background and sites on different continents to fulfill Infineon’s stringent quality, infrastructure security and business continuity requirements.”

“Infineon’s decision to choose GLOBALFOUNDRIES as the foundry partner for the 40nm embedded Flash technology node recognizes our unique ability to offer one-foundry-solutions supported by multiple fabs in different geographies,” says Ajit Manocha, CEO GLOBALFOUNDRIES. “We are committed to providing leading-edge technology and manufacturing capabilities required to support Infineon’s business. We are looking forward to a long-term collaboration with Infineon and to contribute to their success in a very dynamic industry.”

This agreement with GLOBALFOUNDRIES is consistent with Infineon’s strategy to engage in technology co-development for CMOS-based technologies in 65nm and below. Process and product qualification for security microcontrollers is planned for the second half of 2015. Automotive microcontroller production start is scheduled for the first half of 2017.

Infineon and GLOBALFOUNDRIES have a longstanding relationship in development and manufacturing, including joint development and manufacturing of CMOS-based low-power mobile phone products.

GLOBALFOUNDRIES is the world’s first full-service semiconductor foundry. Launched in March 2009, the company quickly achieved scale as the second largest foundry in the world. With operations in Singapore, Germany and the United States, GLOBALFOUNDRIES is the only foundry that offers the flexibility and security of manufacturing centers spanning three continents. The company’s three 300mm fabs and five 200mm fabs provide the full range of process technologies from mainstream to the leading edge. GLOBALFOUNDRIES is owned by the Advanced Technology Investment Company (ATIC).

Infineon Technologies AG, Neubiberg, Germany, offers semiconductor and system solutions addressing three central challenges to modern society: energy efficiency, mobility, and security. In the 2012 fiscal year (ending September 30), the Company reported sales of Euro 3.9 billion with close to 26,700 employees worldwide. Infineon is listed on the Frankfurt Stock Exchange (ticker symbol: IFX) and in the USA on the over-the-counter market OTCQX International Premier (ticker symbol: IFNNY).

Global macroeconomic developments and technological advances, personal computers, and memory markets are expected to drive demand over the forecast period, Research and Markets predicts in their report, “Global Semiconductor Industry 2012-2017: Trend, Profit and Forecast Analysis.”

The global semiconductor industry is a fragmented market, according to the report. The Asia Pacific (APAC) region dominates this market and represents approximately three-fourths of the global market. Some of the major players include Intel Corporation, Samsung Electronics, Taiwan Semiconductor, Texas Instruments, and Toshiba Corporation. The combination of factors such as continuing transfer of worldwide electronic equipment production to China and the above-average semiconductor content of that equipment influences market dynamics tremendously.

The study indicates that there is increasing demand for semiconductors from the BRIC (Brazil, Russia, India, and China) economies due to increasing end-use electronic product demand. The demand for laptops, notebooks, and tablet computers has overtaken the demand for desktop computers due to their advantages such as low cost, portability, and variety. Some of the industry challenges include the economic cycle, which may have the strongest negative influence on semiconductor industry growth. The Rest of the World is the emerging market for the industry due to the increase in the growth of automotive and consumer electronics and huge opportunities in developing countries.

Lucintel’s report provides an overview of the global semiconductor industry, tracking two market segments of the industry in four geographic regions. Thus, eight segments of the global semiconductor industry are tracked. The report studies manufacturers of integrated circuit segment and optoelectronic-sensor-discrete segment, providing a five-year annual trend analysis that highlights market size, profit and cost structure for North America, Europe, APAC, and ROW. The report also provides forecast, addressing market opportunities for next five years for each of these regions.

The critical processes and technologies necessary to continue Moore’s Law are currently more uncertain than ever before in the history of advanced semiconductor manufacturing. To assess these uncertainties and provide the latest information on EUV lithography, 3D transistors, 450mm wafer processing, and other challenges to preserving the pace of Moore’s Law, the leading authorities on these crucial issues will provide their insights, perspectives and predictions at SEMICON West (www.semiconwest.org), held from July 9-11 in San Francisco, Calif.  Free Registration for SEMICON West 2013 ends on  May 10 — register now: www.semiconwest.org/registration.

Although progress to take EUV lithography into the realm of high-volume manufacturing continues to be made, the readiness of source technologies, mask infrastructure and resist performance are still not known with a high degree of certainty. Until EUV Lithography is ready for high-volume manufacturing, the industry will continue to rely on double-patterning and even multiple-patterning lithography schemes using 193 immersion technology to take it beyond 22nm. How the industry will address these barriers, uncertainties and alternatives will be the focus the lithography session at SEMICON West.

The mobile market is driving the move to novel transistor architectures that offer greater performance and power benefits than traditional planar architectures. Memory and logic manufacturers are pursuing different strategies including leveraging innovations in design rules, new channel materials and processes (e.g., MOCVD) and inspection and metrology challenges.

While materials, architecture and processing technologies are undergoing revolutionary change, wafer processing platforms are also being radically transformed with a planned transition to 450mm wafers. For chip manufacturers and suppliers, this will involve increased levels of collaboration, further advancements in tool prototypes, and increased visibility into related supply chain implications.  The SEMICON West 450 Transition Forum will provide the latest updates on the status of 450 R&D, as well as a review of key technology considerations and a discussion of implications and opportunities for the supply chain.

Each of these programs will take place in the TechXPOT conference sessions on the exhibit floor.  Other TechXPOT programs include sessions on 2.5D and 3D IC Packaging, Productivity Innovation at Existing 200mm/300mm Fabs, Silicon Photonics, Lab-to-Fab Solutions, MEMS, LED Manufacturing, and Printed and Flexible Electronics.  SEMICON West will features over 50 hours of free technical, applications and business programs with the critical, need-to-know information presented by industry leaders.  .

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C. 

Reinventing Intel


April 19, 2013

Intel logoIntel is looking to reinvent itself.

With PC shipments reportedly in free fall, the company reported a sharp decline in its quarterly profits last week, which isn’t surprising, given that the company’s PC chip division accounted for 64 percent of its total revenue and 89 percent of its operating income in 2012. The company reported net income to $2.05 billion, a decline of 25 percent from $2.74 billion in the period last year.  However, analysts at IHS believes Intel has the innovation to stay on top this year, but other reports from decision makers at the semiconductor chip giant have indicated that Intel might have something new up its sleeves.

Uncertain environment at Intel

Intel became the world’s largest semiconductor maker after developing a partnership with Microsoft, and together the two companies have dominated the PC industry for 25 years. But 2013 is proving to be treacherous for the PC industry. The previous IHS forecast predicted global PC shipments would rise by 3.4 percent in 2013. However, given the dismal results in the first quarter, it appears that shipments are unlikely to achieve growth for the year. IHS downgraded its forecast for worldwide PC shipments to be flat at best, but the market is more likely to suffer a 1 percent to 2 percent decline. This follows a dismal 2012, when global PC shipments decreased by 3.3 percent, the first decline in 12 years.

“The PC Industry is facing major challenges as it struggles to find a place in the consumer’s budget amid the rising popularity of the lower-priced media tablet,” said Craig Stice, senior principal analyst for compute platforms at IHS. “Windows 8 has yet to trigger a new PC replacement cycle. While there have been many new product introductions intended to revitalize the market, like the ultrathin mobile PCs and convertibles with touch screens, it seems consumers have yet to discover the return on investment for these higher-priced systems.”

For the most part, Intel reacted with great agility to weakening demand for its products, cutting down its inventories very rapidly in the fourth quarter of 2012 to avoid being stuck with excess stockpiles, according to IHS. The company in the fourth quarter was the most aggressive of all semiconductor suppliers in reducing its inventories, cutting them by 11 percent, or $585 million, compared to the third quarter of 2012—the largest decrease on a dollar basis of any chipmaker during the quarter. Intel’s inventory liquidation partly was due to a reduction in production as the company migrated to a new process technology for manufacturing its chips: 14nm lithography.

Sales of netbooks, a product Intel dominated with its Atom family of low-end processors, have been badly impacted by the downturn in the PC market as well as the growth in the media tablet.  Netbook shipments this year are forecast to amount to just 3.97 million units, down a gut-wrenching 72 percent from 14.1 million units in 2012.

The demand for Intel’s other big source of revenue, chips for computer servers, is evolving, too. Basic servers are relying more and more on cloud computing, creating opportunities for new competitors to develop cheaper designs as the simpler method drives down prices (and, ultimately and unfortunately, profit margins).

Mobile devices and an unexpected move: The surprising bet that could save Intel

Despite Intel’s travails, IHS says the company is expected to continue to maintain its leadership in the global semiconductor market at least through 2013. However, Intel is betting on investments in the mobile market and, surprisingly, pay-TV to carry it even further than that.

According to the New York Times, Intel had been criticized for its lethargic reaction to the rise in the mobile market. Intel in 2012 held a 5 percent share of the market for digital baseband and applications processors used in mobile phones and other mobile devices. Intel is pushing to expand this product line this year. Aside from its legacy Infineon business, Intel had seen some design win activity from its Atom product line in smartphones from Lenovo, Motorola and various Chinese brand OEMs. Furthermore, Intel has introduced its LTE platform.

“IHS expects Intel to continue to attempt to build off these early wins and ramp penetration in the mobile platform market—specifically in smartphones,” said Francis Sideco, senior director for consumer electronics and communications technologies at IHS. “However, even if Intel is successful in this area in 2013, it won’t enjoy rapid growth, but rather slow and steady progress. The company faces significant challenges because of the momentum and positioning of strong incumbents such as Qualcomm, which holds a market share in the mobile-phone semiconductor business that is currently seven times larger than Intel’s.”

However, its greatest departure is its plans for selling a television set-top box and subscription service, which Intel officials say will offer enough regular television content to serve as a substitute for a cable subscription. Variety magazine’s Andrew Wallenstein recently spoke with Erik Huggers, head of Intel Media, the company’s most secretive division, to get the inside scoop on what Intel plans to offer.  Huggers didn’t reveal much to Wallenstein, but here’s what we know:

  • Intel intends to allow subscribers to purchase a package of broadcast and cable channels that will be supplemented by various VOD options. The package will also be available across mobile devices.
  • Intel will not be offering a la carte channels. The programming partners (such as Time Warner, News Corp., Disney, Viacom, etc.) will never go for that. But Intel has also hinted there might be more flexibility in the bundles of channels offered, as opposed to what consumers get with basic cable.
  • Intel has also made clear that its new device is not expected to come in at a lower price point than most other pay-TV services. Instead, what will make Intel’s device unique is a user experience that is “touted as a quantum leap over the traditional multichannel set-ups that have been rendered anachronistic by innovators like Apple and Netflix.”
  • Intel has confirmed that the device will come with a camera that will recognize which viewer in a household is watching so as to personalize the programming (and presumably advertising) to individual tastes. But don’t worry, the company made clear the feature can be turned off.

New Intel leadership could also play a role in its reinvention

And in the midst of the chaotic and uncertain technological revolution, Intel is also scrambling to find a new leader. In November, Paul Otellini, who had been CEO since 2005, caught everyone off guard when he announced his resignation, saying that it is time to transfer Intel’s helm to a new generation of leadership. Otellini declined to provide further information on why he was leaving just three years short of retirement age.

While Intel has yet to indicate a main candidate for the role, Chairman Andy Bryant may offer insight into what Intel is looking for. The New York Times reported that Bryant tells employees at meetings that Intel must fundamentally change, even if the computer chip maker still has what it takes to succeed in engineering and manufacturing.

North America-based manufacturers of semiconductor equipment posted $1.14 billion in orders worldwide in March 2013 (three-month average basis) and a book-to-bill ratio of 1.14, according to the March Book-to-Bill Report published today by SEMI.  A book-to-bill of 1.14 means that $114 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in March 2013 was $1.14 billion. The bookings figure is 5.9 percent higher than the final February 2013 level of $1.07 billion, and is 21.3  percent lower than the March 2012 order level of $1.45 billion.

The three-month average of worldwide billings in March 2013 was $1.00 billion. The billings figure is 2.8 percent higher than the final February 2013 level of $974.7 million, and is 22.2   percent lower than the March 2012 billings level of $1.29 billion.

“Continued improvement in three-month average bookings for new semiconductor manufacturing equipment is reflected in the March figures, which indicate a 23 percent improvement over the prior quarter," said Denny McGuirk, president and CEO of SEMI.  “While the overall expansion of new manufacturing capacity remains muted, we see continued investment in technology upgrades by the world’s chip makers.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

  Billings
(3-mo. avg)
Bookings
(3-mo. avg)
Book-to-Bill
October 2012 985.5 742.8 0.75
November 2012 910.1 718.6 0.79
December 2012 1,006.1 927.4 0.92
January 2013 968.0 1,076.0 1.11
February 2013 (final) 974.7 1,073.5 1.10
March 2013 (prelim) 1,001.6 1,137.1 1.14

The data contained in SEMI’s release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

SEMI is the global industry association serving the nano- and micro-electronic manufacturing supply chains.

By Ed Korczynski, Sr. Technical Editor, SST/SemiMD

The IC fabrication industry is approaching the end of the road for device miniaturization, with both atomic and economic limits looming on the horizon. New materials are widely considered as key to the future of profitable innovation in ICs, so everyone from process engineers to business pundits needs to examine the Emerging Research Materials (ERM) chapter of the just published 2013 edition of the International Technology Roadmap for Semiconductors (ITRS).

The 2013 ITRS covers both near-term (2014-2020) and long-term (2020 onward) perspectives on what materials and processes would be desired to build ideal ICs (Fig. 1, Table ERM15). However, to properly understand the information in the current edition we need to consider the changes in the IC fab industry since 1992 when the first edition of the ITRS’s predecessor was published as the U.S. National Technology Roadmap for Semiconductors (NTRS).

Twenty-two years ago, the industry had dozens of fabs working on next-generation technology, and with lithographic scaling dominating innovation there was broad consensus on gradual materials evolutions. Today, the industry has 3 logic fabs and about as many memory lines pushing processes to smaller geometries, and each fab may use significantly different revolutionary materials. The result today is that there is little consensus on direction for new materials, and at best we can quantify the relative benefits of choosing one or another of the many options available.

In fact, with just a few players left in the game, there is much to lose for any one player to disclose strategic plans such as the use of revolutionary materials. Mark Thirsk, managing partner with specialty materials analysts Linx Consulting, commented, “We built our business based on anonymizing and generalizing the world, and then predicting the future based on big categorical buckets. But now there are a very few number of people pushing the boundaries and we’re being asked to model specific fab processes such as those for Intel or TSMC.”

For all of the above reasons, the current ITRS might be better understood as a scouting report that quantifies the roughness of the terrain when our current roads end. Exotic materials such as graphene and indium-gallium-phosphide may be used as alternate materials for the Si channels in transistors, novel stacks of atomic-layers may be used as electrical contacts, and spintronics and single-electron devices may one day replace DRAM and Flash chips for solid-state memory chips. However,  “significant challenges” exist in integrating any of these new technologies into high-volume manufacturing.

In the near-term, Cu wires clad with various metal barriers are projected to provide the best overall performance for on-chip interconnects.  As stated in the 2013 Executive Summary, “Unfortunately no new breakthroughs are reported for interconnections since no viable materials with resistivity below copper exist. However, progress in manipulation of edgeless wrapped materials (e.g., carbon nanotubes, graphene combinations etc.) offer the promise of ‘ballistic conductors,’ which may emerge in the next decade.”

Specialty Materials Suppliers

Figure 2 (Figure ERM5) shows the inherent complexity involved in the stages of developing a new chemical precursor for use in commercial IC production. The chapter summarizes the intrinsic difficulty of atomic-scale R&D for future chips as follows:

A critical ERM factor for improving emerging devices, interconnects, and package technologies is the ability to characterize and control embedded interface properties. As features approach the nanometer scale, fundamental thermodynamic stability considerations and fluctuations may limit the ability to fabricate materials with tight dimensional distributions and controlled useful material properties.

In addition to daunting technical issues with pre-cursor R&D, the business model for chemical suppliers is being strained by industry consolidation and by dimensional shrinks. Consolidation means that each fab has unique pre-cursor requirements, so there may be just one customer for a requested chemistry and no ability to get a return on the investment if the customer decides to use a different approach.

Shrinks down to atomic dimensions means that just milliliters instead of liters of chemistry may be needed. For example, atomic-layer deposition (ALD) precursor R&D requires expertise and investment in molecular- and chemical-engineering, and so significant sunk costs to create any specialty molecule in research quantities. “We’ll have an explosion of precursors required based on proprietary IP held by different companies,” reminds Thirsk. “The people who are being asked to develop the supply-chain of ever increasing specifications are simultaneously being squeezed on margin and volumes.”

For materials such as Co, Ru, La, and Ti-alloys to be used in fabs we need to develop more than just deposition and metrology steps. We will also likely require atomic-level processes for cleaning and etch/CMP, which can trigger a need for yet another custom material solution.

Established chemical suppliers—such as Air Liquide, Dow, DuPont, Linde, Praxair, and SAFC—run international businesses serving many industries. IC manufacturing is just a small portion of their businesses, and they can afford to simply walk-away from the industry if the ROI seems unattractive. “We’re finding more and more that, for example in wet cleaning chemistry, the top line of the market is flat,” cautioned Thirsk. “You can find some specialty chemistries that provide better profits, but the dynamics of the market are such that there’s reduced volume and reduced profitability. So where will the innovation come from?”

Alternate Channel Materials

With finFETs and SOI now both capable of running in fully-depleted mode, alternative materials to strained silicon are being extensively explored to provide higher MOSFET performance at reduced power. Examples include III-V semiconductors, Ge, graphene, carbon nanotubes, and other semiconductor nanowires (NW). To achieve complimentary MOS high performance, co-integration of different materials (i.e. III-V and Ge) on Si may be necessary. Significant materials issues such as defect reduction, interface chemistry, metal contact resistivity, and process integration must be addressed before such improvements can be achieved.

Nano-wire transistors

Top down fabricated nanowires (NW) are one-dimensional structures that can be derived from two-dimensional finFETs. Patterned and etched <5nm Si NW have been reported to have room temperature quantum oscillatory behavior with back-gate voltage with a peak mobility approaching ∼900 cm2/Vs. Despite extensive R&D, grown Si NW demonstrate no performance improvements over patterned-and-etched NW, and controlled growth in desired locations remains extraordinarily challenging. Overall, significant challenges must be overcome for NW to be integrated in high density, particularly when targeting laterally placed NW with surround gates and low resistance contacts.

—E.K.

Error correction code and redundant addresses are both techniques well-known in memories as a way of optimizing yield. But new data from the University of Ferrara shows that these common techniques may be overused. By classifying erratic bits more carefully, it’s possible to use less ECC and up to 35 percent less redundancy.

At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, researchers will present a paper titled “Erratic Bits Classification for Efficient Repair Strategies in Automotive Embedded Flash Memories.”

The figure shows three different types of erratic bits and their behavior over time. In the first case (top), the read current of one type of erratic bit is shown, where it will periodically spike to a higher read current. The second type of erratic bit (middle) is in a low read current state half the time, and a high read current state the other half. A third class of erratic bits (bottom) is going back and forth constantly between the high read state and the low read state.

erratic bits
Examples of different erratic bit signatures (left). Normal and erratic states are highlighted for clarity. Erratic bits percentage per signature classification in delay time cycling experiments are shown on the right.

“Typically, what would be done when you have these bad bits after you’ve created them after so many read-write cycles, is you would just use a redundant address repair on these, “ said Charlie Slayman, IRPS Vice Technical Program Chair. “But what the authors are saying is that that redundant address space costs you more money. You’ve got to build in more memory. For a certain class of bad bits, the erratic bits [top] that are most of the time good and only infrequently bad, don’t bother using redundant address, just use your error correction code and that’s sufficient. Save your redundant addresses for the really bad erratic bits.” 

The ability of a resistive RAM device to maintain its resistance state, otherwise known as retention time, can be impacted by the electrode materials used.  At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, a paper on memory, authors from Minatec and various research centers  presented a paper titled “Investigation of the role of electrodes on the retention performance of HfOx based RRAM cells by experiments, atomistic simulations and device physical modeling.”

The RRAM consists of two metal electrodes and a hafnium oxide between those, where the hafnium oxide acts as a variable resistor (see figure). The authors of this paper considered the use of different metal materials. In one case, they used platinum for the electrode, and in a second example they used TiN/Ti to sandwich the hafnium oxide. They showed that the Pt/Pt electrode device loses its on-state resistance sooner than the TiN/Ti device.

"They attribute the phenomenon to oxygen interstitials in the HfO2, and TiN-Ti’s ability to basically getter those interstitials (Oi) and pin them at the surface," according to Charlie Slayman, IRPS Vice Technical Program Chair.

Atomistic structure of HfO2 with an Oi intersitials leading the the recombination of Oi+Vo in Pt/Pt during reset (left). Atomistic structure of Ti with an Oi interstitial creating more Vo in HfO2 (right).