Category Archives: Metrology

New flash memory chips are replacing the floating gate with thin layers of material that "trap the charge." The charge trap is a sandwich of materials such as silicon-oxide-nitride-oxide-silicon (SONOS), metal-oxide-nitride-oxide-silicon (MONOS) and tantalum-aluminum oxide-nitride-oxide-silicon (TANOS), all of which are substantially smaller than the floating gate.

At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, researchers from Mila Polytechnic, Micron and Intel will present a paper titled "Resolving Discrete Emission Events: a New Perspective for Detrapping Investigation in NAND Flash Memories." The authors say their results provide important insights into the fundamental scaling challenges of aggressively-scaled NAND flash technologies, where the impact of single electrons and defects becomes increasingly important.

Charlie Slayman, IRPS Vice Technical Program Chair, said that researchers looked at the effects of individual discrete traps in the tunnel oxide for 30nm NAND flash (see figure).

flash memory
Example of ΔVT, i and dti extraction from a detrapping VT transient.

"Looking at the threshold voltage over time, you can actually see the threshold voltage change in discrete quantized steps. They’ve analyzed this and determined these are individual traps in the device that are trapping and detrapping. This will have an impact on future flash technologies where single electron and defects become increasingly important," Slayman said.

At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, imec will present new research focused on the stress induced breakdown between the tungsten trench local interconnects (M1, M2) and metal gate in a 28nm CMOS technology. Imec’s Thomas Kauerauf will present a paper titled “Reliability of MOL local interconnects.”

The researchers found that the breakdown voltage shows strong polarity dependence (see figure).

The breakdown voltage revealed significant polarity dependence. Here the VBD data with bias applied at IM1 or the gate is shown.

“This has profound implications for estimating the end-of-life, specifically in bipolar applications,” explained Giuseppe Larosa, IRPS Technical Program Chair. “Here, bipolar means the voltage between the gate and the drain can basically change polarity. That really depends on the situation where the gate can be high and the drain can be ground, or the gate can be ground and the drain can be high. When you’re switching, you are in that situation. The total end of life can be a mix between these two situations.”

The imec authors note that, due to overlay errors, the spacing between the gate and the contact varies, resulting in large VBD and tBD variability across the wafer. They have developed a methodology for an intrinsic TDDB lifetime extrapolation with uniformity correction.

New finFETs feature high-k dielectrics, which are better than conventional silicon nitride dielectrics in that they can be thinner, yet still enable good control of the transistor’s channel region from the gate. Although high-k materials have been in use for five years or more, new reliability concerns associated with their use in finFETs have arisen, particularly bias temperature instability (BTI) and time dependent dielectric breakdown (TDDB).   

"There are two aspects of high k dielectrics that people have to face," said Giuseppe Larosa, IRPS Technical Program Chair. "BTI is again a concern with continued scaling. The second new effect of using high-k oxides is the TDDB physics is really completely different than nitride oxides. There’s been a lot of controversy on how to describe the TDDB for high-k in recent years.”

At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, GLOBALFOUNDRIES will present the first large-scale stochastic BTI (particularly PBTI) study in metal gate/high-k technology, confirming fundamental BTI area scaling trends derived from conventional SiO2 technologies, and IBM will report on TDDB in high-k, and how it will lead to more accurate models.

“Contrary to nitride oxides, high-k brings a higher sensitivity of the NFET devices to PBTI. This is mostly due to the face that the high-k material can be sensitive to electron trap activation or generation so producing PBTI effects that you will not see in standard nitride oxide technologies,” Larosa said. “While in nitride oxide, NBTI is the main BTI mechanism that drives PFET aging, in high-k materials it’s both NBTI for PMOS and PBTI for NMOS that is actually producing some BTI aging.”

In a paper titled “Challenges in the characterization and modeling of BTI induced variability in Metal Gate / High-k CMOS technologies,” GLOBALFOUNDRIES researchers show that PBTI in the NFET is similar to NBTI in the pFET, but with a different type of permutation (see figure).

“The actual distribution shows a slightly different trend than the NBTI distribution,” Larosa said.  But everything can be normalized and scaled exactly the same way.

In another paper, titled “A New Formulation of Breakdown Model for High-k/SiO2 Bilayer Dielectris,” researchers from IBM show that breakdown can happen slowly.

“Gate leakage is actually starting to progressively increase until you’re going to get a hard breakdown,” Larosa said. “The distribution in the case is really bimodal. You have completely different behavior between when the first breakdown takes place versus when the oxide breakdown is actually evolving into the hard breakdown. The challenge here has been how to simulate this. This works suggest that it can be done with a Monte Carlo simulation that is based on dual-layer percolation statistical model. Why is this important? Because without this model you cannot be confident in predicting end of life, and having this type of simulation can help in making that projection that can be relevant for product level of circuit level reliability.”

The first large-scale stochastic BTI study in metal-gate/high-k transistors shows that PBTI in the NFET is similar to NBTI in the pFET, but with a different type of permutation.

It’s well-known that transistors generate heat when they’re operating, and that can have a significant impact on the chip’s reliability and longterm longevity. A small increase of 10°C–15°C in the junction temperature may result in ∼ 2× reduction in the lifespan of the device.

In conventional bulk transistors, self-heating is controlled in that the heat moves away down into the bulk of the devices. In newer FinFETS, however, it could pose a serious problem because there’s nowhere for the heat to go. 

“In finFETs, because it’s a three-dimensional structure, this self-heating is a bottleneck in scaling down,” said Giuseppe Larosa, Technical Program Chair of the IRPS. “Self-heating become a key important issue. The International Reliability Physics Symposium (IRPS) is being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA.

At IRPS, Intel will present new research in a paper titled “Self-heat Reliability Considerations on Intel’s 22nm Tri-Gate Technology.” This work elaborates on various measurements to observe self-heating as well as the associated reliability implications, not only in the transistors, but the overlying metal lines. “The self-heating of the finFET can locally increase the temperature in the metal wires above, enhancing  electromigration effects,” Larosa said.

Self-heating effects are investigated at various locations in the tri-gate architecture and in long metal lines with multiple finFETs underneath.  FinFET local temperature rise was linear with power and independent of gate stack (predicted by thermal modeling).  A linear trend for metal lines local temperature rise is observed as function powered FinFETs segments.

Intel emphasized the importance of well-calibrated self-heat models for process optimization for cutting-edge performance and reliability. They showed that aging during switching events is affected by local self-heat and shows sensitivity to number of fins or gate lines (see figure). 

“To calibrate the self-heating, you have to make sure that you have a good understanding of the local temperature in the structure that is under investigation,” Larosa said. “The figure shows self-heating at the device level is affecting aging of a given FET. It’s a function of the number of fins and the number of active lines per transistor. “

Self-heat manifests as a sensitivity to the fin or gate count in switching aging degradation. Here, switching conditions are accelerated to enhance the sensitivity.

 

FinFETs offer several advantages compared to traditional planar transistors, but it’s not yet clear what kind of new reliability problems might arise as FinFETs are scaled to smaller dimensions. One concern is what impact bias temperature instability (BTI), particularly negative BTI (NBTI), might have on FinFETs.

In p-channel transistors, NBTI affects small feature size devices and is quite difficult to reduce or eliminate. As feature sizes become smaller, the effects of NBTI become more pronounced, resulting in increases in threshold voltage and decreases in drain current and transconductance in p-channel devices. NBTI results from a positive charge buildup in p-channel transistors. It occurs at low negative gate-to-source voltages and does not result in an increase in gate leakage current. Rather, it affects off-state drain-to-source leakage and reduces the drive current. Generally, this problem is worse than standard hot carrier degradation because it results in permanent interface traps being generated, reducing device lifetime.

At the International Reliability Physics Symposium (IRPS), being held April 14-18, 2013 at the Hyatt Regency Monterey Resort & Spa in Monterey, CA, Intel will present a paper titled “Intrinsic Transistor Reliability Improvements from 22nm Tri-Gate Technology” that shows that FinFETs have a similar total BTI budget at application condition but, while NMOS PBTI can be reduced to near-negligible levels, NBTI sensitivity seems to increase with scaling.

Giuseppe Larosa, IRPS Technical Program Chair said that “Intel’s data suggest that when you look at the total BTI budget, it seems to be even in the finFET world, going from 32 seems to be pretty much okay, but NBTI seems to be an issue because it’s increasing with finFET scaling.” What Intel did was to compare a 32nm planar technology to 22nm finFET technology. The figure shows that 32nm technology in red and the 22nm finFET technology in blue. “You can see that they can manage to really reduce the PBTI, but the NBTI is actually getting worse with scaling,” Larosa said. “NBTI is becoming one of the key challenging issues for finFETs.”

22nm BTI is comparable to 32nm. NMOS is significantly improved due to gate optimization and WF scaling.

Several years ago when the challenges to 450mm wafer processing, EUV development and novel transistor designs were first being discussed, SEMI commissioned a study that predicted the industry could face an R&D funding gap that could exceed $9 billion if current technology and economic trends continue. At the time, SEMI issued a statement saying the industry was at a “crossroads” and “without significant attention to the R&D gap, the semiconductor equipment and materials industry will not be able to afford to keep up with Moore’s Law.”

technology forum

Much has happened since that report was issued: 450mm development was delayed, but now is ramping at G450C; Intel, Samsung and TSMC have invested over one billion dollars in ASML; cost targets have been missed at 28nm; and 3D-ICs have emerged as an alternative development path for leading-edge chip solutions.  But the R&D challenges remain.   The industry has responded in unexpected and unique ways, including new funding models, new consortia programs, increasing joint development agreements, and other mechanisms.  How R&D processes and strategies have evolved, and will probably continue to evolve, will be the subject of several programs at SEMICON West.

The most significant trend in R&D in the industry, and increasingly important to the supply chain, is the growth and changing role of R&D consortia.  Not long ago, the top research organizations served the advanced research needs of IDMs.  Today, equipment and material suppliers, EDA software providers, fabless chip companies, and other diverse organizations participate in consortia initiatives.  In the near future, there may be increasing involvement from system companies like Apple, Cisco, and Google.  Along with changes in participation, the types of research conducted by consortia have also evolved, many directly involving component and subsystem suppliers. Today, there are consortia that specialize in key areas like wafer size transition and lithography, but also many of their programs seem to overlap, potentially creating inefficiencies and redundancies in R&D efforts that consortia were supposed to eliminate.

Many of these issues will be discussed in a special executive panel on semiconductor R&D at SEMICON West.  On Wednesday, July 10, I will be joined on stage by Daniel Armbrust, president and CEO of SEMATECH; Michael Liehr, executive VP at CNSE; Dr. Laurent Malier, CEO of CEA-Leti; and Dr. Luc Van den hove, president and CEO of imec to discuss the critical trends and developments in R&D and how they will affect SEMI members.  We will discuss the important role of consortia and what’s new at their organizations, but also share our perspectives on the changing role of the R&D engineer and scientist in the industry today.  Increasingly, R&D is becoming more about managing complex multi-organization processes and innovation platforms than it is about pure research looking for the next “ah-ha” discovery.

Another critical R&D issue is the changing innovation pipeline delivered by technology start-ups.  In the past, the industry enjoyed a healthy ecosystem of emerging companies funded by venture capital that were ripe candidates for merger and acquisition.  Today, VC venture funding in the semiconductor industry is down nearly 50 percent from 2009 levels.  To help address this problem, SEMICON West will feature the first Silicon Innovation Forum (SIF) focused on new and emerging companies in the industry.  Organized by Applied Ventures, Dow Chemical Company, Intel Capital, Micron Ventures, TEL Venture Capital, and Samsung Ventures, SIF is designed to bridge funding gaps for new and early-stage companies by providing a platform to showcase new ideas to potential partners and investors.  SIF will consist of an open conference program on July 9 which is free to all SEMICON West attendees, followed by a reception and showcase for qualified investors.

The International Technology Roadmap for Semiconductors (ITRS) has been a critical component in the R&D planning process and SEMICON West will again feature presentations and discussions on the latest version.  The ITRS is undergoing a major change this year to reflect the market evolution towards highly-flexible mobile devices. Presentations include topics on system drivers, design, modeling and simulation, process integration, devices, and structures (PIDS), lithography, front-end processes (FEP), and emerging research devices (ERD). Back-end-of-line working groups will present challenges for future interconnects — such as through silicon vias (TSVs); the latest roadmaps for semiconductor assembly; systems packaging applications, “More than Moore,” and the testing considerations for these quickly changing technologies.  They will also discuss roadmap developments in micro-electro-mechanical systems (MEMS) and radio frequency and analog/mixed-signal technologies (RFAMS).  Look for these report-out sessions on the SEMICON West TechXpots on Thursday, July 11.

Other critical R&D topics that will be discussed at the SEMICON TechXPOT sessions are the latest developments in  lithography, processing requirements for non-planar transistors, 450mm wafer processing, advanced materials, and nano-defect metrology.  Unlike a conference with a variety of academic and special-interest topics, the SEMICON TechXPOT sessions quickly and succinctly provide the latest news and status from leading experts in the field, including “in the know” executives from organizations like ASML, Intel, GF, SEMATECH, G450C, ASE, ST Microelectronics and many more. In addition to their public presentations, TechXPOT speakers often make themselves readily available, providing suppliers and other stakeholders critical information on technology requirements and opportunities.

R&D engineers and scientists remain one of the most important audiences at SEMICON West.  Through private meetings with their top customers and suppliers, and through TechXPOT and other programs that deliver the latest developments in key areas of industry development, we think SEMICON West provides the most cost-effective and time-efficient value in the industry.  I hope you can join us.

For more information on SEMICON West and to register, visit www.semiconwest.org (free registration ends on May 10)

ARM and TSMC today announced the first tape-out of an ARM Cortex-A57 processor on FinFET process technology.  The Cortex-A57 processor is ARM’s highest performing processor, designed to further extend the capabilities of future mobile and enterprise computing, including compute intensive applications such as high-end computer, tablet and server products.  This is the first milestone in the collaboration between ARM and TSMC to jointly optimize the 64-bit ARMv8 processor series on TSMC FinFET process technologies.  The two companies cooperated in the implementation from RTL to tape-out in six months using ARM Artisan physical IP, TSMC memory macros, and EDA technologies enabled by TSMC’s Open Innovation Platform (OIP) design ecosystem.

ARM and TSMC’s collaboration produces optimized, power-efficient Cortex-A57 processors and libraries to support early customer implementations on 16nm FinFET for high-performance, ARM technology-based SoCs.

“This first ARM Cortex-A57 processor implementation paves the way for our mutual customers to leverage the performance and power efficiency of 16nm FinFET technology,” said Tom Cronk, executive vice president and general manager, Processor Division, ARM.  “The joint effort of ARM, TSMC, and TSMC’s OIP design ecosystem partners demonstrates the strong commitment to provide industry-leading technology for customer designs to benefit from our latest 64-bit ARMv8 architecture, big.LITTLE processing and ARM POP IP across a wide variety of market segments.”

“Our multi-year, multi-node collaboration with ARM continues to deliver advanced technologies to enable market-leading SoCs across mobile, server, and enterprise infrastructure applications,” said Dr. Cliff Hou, TSMC Vice President of R&D. “This achievement demonstrates that the next-generation ARMv8 processor is FinFET-ready for TSMC’s advanced technology.”

This announcement highlights the enhanced and intensified collaboration between ARM and TSMC. The test chip was implemented using a commercially available 16nm FinFET tool chain and design services provided by the OIP ecosystem and ARM Connected Community partners. This successful collaborative milestone is confirmation of the roles that TSMC’s OIP and ARM’s Connected Community play in promoting innovation for the semiconductor design industry.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, today announced that worldwide sales of semiconductors reached $23.25 billion for the month of February 2013, an increase of 1.4 percent from February 2012 when sales were $22.93 billion. Global sales from February 2013 were 3.8 percent lower than the January 2013 total of $24.17 billion, reflecting seasonal trends, but year-to-date sales through February 2013 were 2 percent higher than at the same point last year. All monthly sales numbers represent a three-month moving average.   

“Despite persistent economic uncertainty, the global semiconductor industry is off to a promising start in 2013 – led by strength in memory sales – and is ahead of last year’s pace,” said Brian Toohey, president and CEO, Semiconductor Industry Association. “To help spur stronger growth, Congress and the Administration should invest in basic research to boost American innovation, reform the high-skilled immigration system to welcome the top scientific minds from around the world, and modify the tax system so businesses can expand, invest and hire new workers.”  

Regionally, year-over-year sales increased in Asia Pacific (6.7 percent) and the Americas (1.6 percent), but decreased in Europe (-1.5 percent) and Japan (-15.7 percent). Sales increased in Europe (1.4 percent) compared to the previous month, but decreased in Asia Pacific (-3.6 percent), Japan (-5 percent) and the Americas (-6.2 percent). 

Intermolecular, Inc. (Nasdaq:IMI) today announced that Dr. Raj Jammy has joined the company as senior vice president and general manager of the semiconductor group. Dr. Jammy will be responsible for Intermolecular’s semiconductor business and will play a central role in further developing Intermolecular’s products and capabilities for the semiconductor industry.

"We are extremely pleased to welcome Raj to Intermolecular," said Dave Lazovsky, president and CEO of Intermolecular. "Raj’s deep industry experience, proven technology development track record and wealth of relationships with our key customers make him an ideal candidate to lead our semiconductor business to an entirely new level in the coming years."

"I am very excited to be joining such an innovative and high-growth company,” Dr. Jammy commented. “Materials innovation in the semiconductor industry is an absolute prerequisite to achieving further cost reductions and performance improvements. Over the past 20 years, I have experienced firsthand the daunting challenge of trying to introduce new materials and processes into complex semiconductor devices. Intermolecular, with its proprietary High Productivity Combinatorial (HPC(TM)) development platform, top notch interdisciplinary technical team and a unique "win-win" business model, is extremely well positioned to play a critical role in shaping the future of the semiconductor industry."

Prior to joining Intermolecular, Dr. Jammy was vice president of materials and emerging technologies at SEMATECH. In that capacity he was responsible for leading the consortium’s efforts in front-end CMOS logic, novel memory technologies, 3D TSV interconnects and emerging beyond-CMOS technologies with disruptive scaling potential. Dr. Jammy had previously served three years as director of SEMATECH’s Front End Processes division.

Dr. Jammy began his career in the industry at IBM’s Semiconductor Research and Development Center in East Fishkill, N.Y., where he worked on front-end technologies for deep-trench DRAMs. He subsequently became manager of the Thermal Processes and Surface Preparation group in the DRAM development organization. In 2002, Dr. Jammy moved to the T. J. Watson Research Center in Yorktown Heights, N.Y., to manage IBM’s efforts in high-k gate dielectrics and metal gates. In 2005 he joined SEMATECH as Director of the Front End Processes division and an IBM assignee.

Dr. Jammy received a doctorate in electrical engineering from Northwestern University. He holds more than 50 patents and is an author/co-author of over 225 publications/presentations. He serves on a variety of conference and industry committees, including the IEEE VLSI Technology Symposium as technical program co-chair, the ITRS Front End International Technology Working Group, the IEEE VLSI TSA Conference as US Chair, and other industry and academic bodies.

The Semiconductor Machinery Manufacturing industry has been highly volatile during the past five years.

“Demand from the industry is determined by conditions in the downstream semiconductor manufacturing, which is characterized by rapid technological change,” said IBISWorld industry analyst Andrew Krabeepetcharat.

Industry performance also derives from downstream demand for electronic products that use semiconductors. Revenue is expected to decline at an average annual rate of 0.5% to $1.7 billion during the five years to 2013, largely due to a decline in demand from downstream manufacturing.

Revenue declined quickly in 2009 as semiconductor manufacturers postponed machinery purchases in the midst of the recession.

“Downstream demand for products that use semiconductors also experienced weaker demand during the period,” said Krabeepetcharat.

Industry revenue fell 33.3% in 2009 but bounced back 32.7% in 2010. Despite a quick recovery, growth quickly slowed and came to a small decline through 2013, with revenue falling 0.9% from the previous year. Over the five years to 2018, industry revenue is projected to grow slowly.

The Semiconductor Machinery Manufacturing industry has a moderate level of concentration. IBISWorld estimates that the four largest industry operators will account for less than 15.0% of industry revenue in 2013, down from about up from about 44.0% in 2008. Several firms left the industry in 2009 with the number of firms falling 10.7% during the year, contributing to the increase in market share concentration. During the five years to 2013, the number of industry firms is projected to decline at an average annual rate of 3.0% to 24 companies. Over the next five years, the number of industry firms is expected to decline at an average annual rate of 2.6% to 21 firms as major companies acquire smaller competitors and other firms move operations overseas.

Exports account for a large share of revenue, accounting for about 54.1% in 2013. International trade of industry products fell heavily during the economic downturn as global demand fell and semiconductor manufacturers delayed capital investments. During the past five years, the value of exports is expected to increase at an average annual rate of 2.1% to $923.5 million. Imports also declined during the economic downturn, increasing at an average annual rate of 1.0% to $243.0 million in 2013.