Category Archives: Metrology

Researchers sponsored by Semiconductor Research Corporation (SRC), a university-research consortium for semiconductors and related technologies, today announced development of a modeling process designed to simulate atomic-level etching with chemicals that are effective alternatives to widely used perfluorocarbon (PFC) gases. The novel approach under way at the University of California, Los Angeles (UCLA) will identify and evaluate green plasma chemistries for processing emerging memory/logic devices and through-silicon-via (TSV)-enabled technologies for the semiconductor industry.

In order to continue the advancement of transistor and memory cell performance, the research will focus on several promising new materials that have been introduced for future generations of integrated circuits (ICs). To exploit this opportunity, the industry requires new and effective etch processes with which to pattern the next-generation fabrics.

The aim of the UCLA research effort within the SRC-funded Engineering Research Center for Environmentally Benign Semiconductor Manufacturing (ERC) is to identify environmentally friendly chemistry for patterning materials in IC fabrication and verify their performance to be equal to, or greater than, current state-of-art plasma chemistries.

The unique performance characteristics required for advanced devices and technologies dictates the use of certain materials and corresponding aggressive etch chemistries. Next-generation processes will benefit from chemicals that are more benign, less hazardous and more efficiently utilized.

The advanced modeling approach developed by UCLA will predict emissions from plasma processes and assess the effectiveness of non-PFC etch chemistries compared to those using PFC gases. While historically PFC gases have been an enabling component of semiconductor manufacturing, the industry continues to aggressively pursue and implement PFC replacement and abatement strategies. The UCLA research will greatly assist and accelerate this industry effort.

“The industry can’t afford to conduct thousands of hands-on experiments to measure, one at a time, the chemical reactions that etch at a molecular scale,” said Dr. Jane Chang, lead researcher for the SRC-funded activity at UCLA. “With modeling, we can conduct those evaluations in a relatively short time. In terms of months rather than years, we expect to identify the conditions for a benign etch chemistry that will help to facilitate the industry’s technology roadmaps.”

Peeling Layers at the Atomic Level

Chemical vapor deposition and plasma etch have been extensively used as part of an integrated process flow to realize chip manufacturing over the last several decades. 

In this era of nanotechnology, atomic layer deposition has become a viable approach to synthesize functional materials for chip manufacturing. However, its counterpart, atomic layer etch, has not been fully developed.

The UCLA program is designed to reverse engineer the atomic layer deposition process, providing guidance for simulation of the atomic-layer etch. Such capability is projected by industry scientists to become increasingly important in order to achieve the patterning of complex and multi-layer thin-film structures.

For example, new composite or hybrid materials required for emerging memory and logic devices pose significant etch challenges that must be addressed by aggressive, yet highly selective, etch chemistries. Conversely, PFC replacement etches for TSVs, which enable 3D device integration, face a different set of challenges such as high aspect ratio and multiple interfaces. Both types of etching present difficult challenges, but also significant opportunities to enable higher density, performance and functional diversification in future ICs.

By screening potential surface reactions and applying thermodynamic and kinetics assessment to measure reaction, researchers are taking a unique approach to remove specific surface elements and design chemistries that will work better than PFCs. As a result, the model prediction provides a design of experiments that can be much more efficient and shorten the timeframe for discovery and confirmation of alternative chemicals.

“Atom by atom, this is the fastest and most affordable way to discover the best approaches for etching down to silicon and metal layers with a variety of chemicals for chipmaking,” said Farhang Shadman, Director of the SRC-funded ERC based at the University of Arizona.

Next Steps

According to the World Semiconductor Council, semiconductor manufacturers in the European Union, Japan, Korea, Taiwan and the United States voluntarily lowered PFC emissions by more than 30% between 1995 and 2010, surpassing the WSC goal to reduce emissions by 10%. UCLA predicts that its modeling approach will lead to further reductions in PFC emissions from semiconductor manufacturing, supporting the industry’s ongoing commitment to environmental protection.

An additional potential benefit of the UCLA atomic scale etch model is an improvement in throughput for chip production by identifying and confirming higher etch-rate processes.

“Needless to say, accelerating the pace of PFC replacement is an important priority for the semiconductor industry, and SRC member companies are working closely with Dr. Chang’s UCLA research team to provide both guidance and support in developing and verifying these new models,” said Bob Havemann, director of Nanomanufacturing Sciences at SRC. “This project provides an outstanding example of the vital ongoing research at the ERC, which is focused on developing ESH-preferable processes for current and future semiconductor manufacturing.”

Most electronic systems that power our digital life are inflexible and flat. Rigid electronic designs work for our computers and phones but not for our bodies. Humans are soft and curved. Electronic systems capable of bending, twisting, and stretching have great potential for applications in which conventional, stiff semiconductor microelectronics would not suffice. One promising area for conformable electronics is in biomedical applications such as wearable and implantable electronic systems. Design for Reliability of Multi-Layer Thin Film Stretchable Interconnects, to be presented at the Electronic Components and Technology Conference on May 28 – 31, 2013, discusses the use of stretchable interconnects (Figure 1) and the future shape of conformable electronics.

multiple layers of stretchable interconnects
Figure 1. Multiple layers of stretchable interconnects crossing at the strain relief structure described below.

Previous stretchable interconnect designs are composed of only a single metal layer. Due to the nature of this single metal layer design, these stretchable electronic systems have large, sparse layouts. However, as the system gets more complex, single metal layer interconnects become impractical. Multi-layered stretchable interconnects allow for smaller, more intricate, and more practical systems and can be produced through conventional bottom-up micro-fabrication processes. When multiple meandering interconnects intersect, however, the junctions of the interconnects are subject to the specific orientation of each structure, complicating the design, risking the integrity of the small junction areas, and resulting in complex mechanics during stretching.

A circular structure to relieve strain at the junctions between multiple metal interconnect layers is proposed in the paper. In the proposed strain relief structure design (Figure 2), meandering horseshoe patterned metal interconnects contain circular rings that are stacked to allow the interconnects to overlap one another.  While the proposed strain relief structure is a universal design that can be applied to any number of systems with multiple interconnecting layers, structures composed of two metal layers are examined in this study.

strain relief structure design
Figure 2. Strain relief structure design with two layered intersecting interconnects.

A comprehensive investigation into the deformation behavior and failure mechanisms of the structures was carried out through both numerical and experimental analysis. Numerical analysis indicates that elongations of up to 20% cause no plastic strain in the structure, allowing it to operate indefinitely. Simulations show that the crests of the horseshoe interconnects are the regions with the greatest strain and that the structure will ultimately fail at one of these crests (Figure 3).

simulated strain distribution
Figure 3. Right: Structure imaged during testing. Left: Simulated strain distribution.

Results of electromechanical testing show that the strain relief structure can stretch up to 285% of its initial length prior to failure. Initial results from fatigue life testing of the structures has demonstrated that they are able to withstand more than one million cycles of 100% elongation at a 200% per second strain rate. Fatigue life testing also verified the numerical simulations, indicating that the strain relief structure effectively dissipates strain from the interconnect junctions to the crests of the horseshoes. Both the simulation and experimental results show that this multi-layer strain relief structure for stretchable electronic systems is a durable and highly promising design with significant implications for the future of conformable electronics.

surface metrology

PI miCos announced the release of a new 2-axis precision linear translation stage. The new MCS XY precision linear stage was designed for industrial precision motion control and surface metrology applications and combines robustness and high accuracy.

This precision motorized XY positioner handles loads of up to 20 kg and offers resolution down to 0.005 µm over a travel range of four inches, based on an interferometric linear encoder. An option with 0.001 µm resolution is also available. A precision machined base and high accuracy bearings provide straightness/flatness of two microns. Despite the high accuracy, the translation stage was designed for industrial robustness. Transmitted light applications benefit from the large clear aperture of 150x150mm.

Very Wide Velocity Range

The stage can achieve velocities from as low as one µm/second all the up to 200 mm/second (eight inches/second). Several PI miCos motion controllers are available.

Motor and Sensor Options

Several motor and sensor options are offered. For applications where closed-loop operation is not required, lower-cost open-loop stepper motors are recommended. Several closed-loop versions are available for higher performance demands: stepper motors, DC servo motors and direct-drive electromagnetic noncontact linear motors.

For high speed operation the direct-drive linear motors are recommended. Extremely smooth motion, with constant velocity at the low end down to single digit microns/second is achieved with PI miCos stepper motors SMC Hydra motion controllers.

Multi-Axis Options

The MCS XY precision linear translation stage can be combined with linear vertical positioners, rotary positioners and goniometers from PI miCos.

Vacuum Positioners

PI miCos specializes in vacuum compatible positioning systems from 10-3 to 10-10 Torr. Basically all of our linear and rotary stages can also be ordered for vacuum use.

The 24th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2013) will be held May 13-16 in Saratoga Springs, New York. The conference will feature more than 85 presentations including peer-reviewed manuscripts covering critical process technologies and fab productivity, workshops, and tutorials. This year’s event features a panel discussion on the process and economic challenges of the move to 450mm and 15 technical sessions on advanced semiconductor manufacturing, as well as tutorials on computational lithography by Intel Corporation and 3D-ICs by GLOBALFOUNDRIES.

ASMC has been held annually for over two decades, where industry professionals come together to learn and share knowledge on new and “best practice” semiconductor manufacturing issues and concepts. The conference seeks to provide a valuable source of cost-effective, hands-on solutions to address real-world manufacturing challenges.

ASMC will also hold an interactive poster session and reception, to provide opportunities for networking between authors and conference attendees. During this session, participants can engage authors in in-depth discussion of a wide range of issues.   New this year is a co-located workshop on May 13 on manufacturing below the 10nm node.

 ASMC 2013 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT).  Corporate sponsors include: Applied Materials, ASML, ATMI, ChemTrace, CNW Courier Network, DAS, Edwards, KLA-Tencor, GLOBALFOUNDRIES, Marcy NanoCenter at SUNYIT, MSP, NY Loves Nanotech, and Valqua.

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C.

Mentor Graphics User Conference 2013 speaker line-up boasts a host of industry bigwigs, including former foundry CTO, Dr. Chenming Hu.  Hu will give the keynote address on April 25 in San Jose, California, addressing the future of FinFET.

“FinFET overcomes the impending show stopper that device physics imposes,” writes Hu, in his abstract. “The ultra-thin-body concept, which FinFET embodies, may lead to more new structures and materials research directions that can give relief for other future show stoppers such as the high cost of scaling by lithography.”

Dr. Chenming Hu is the TSMC Distinguished Professor of UC Berkeley and a board director of SanDisk, Inc. and Friends of Children with Special Needs.  He was formerly the Chief Technology Officer of TSMC. He is known for developing the 3D transistor, FinFET, that can be scaled to sub-10nm. He also developed IC reliability models and the industry-standard SPICE model used by most IC companies to design CMOS products. He has received the IEEE Andrew Grove Award, Solid State Circuits Award, Nishizawa Medal, and UC Berkeley’s highest honor for teaching–the Berkeley Distinguished Teaching Award.

Mentor Graphics User Conference 2013 is slated to be held April 25, 2013 in San Jose, California at the DoubleTree Hotel by Hilton. This one day event is offered free of charge; however participants are responsible for their own business travel expenses.  Complimentary refreshments including lunch and a networking event will be included.  Registration will begin at 8:00 a.m.  The session will start at 9:00 a.m. and end at approximately 5:00 p.m.

Visit Mentor Graphics User Conference 2013’s website for more information on speakers and accomodations.

Intel semiconductor market inventory declineAfter reaching a worrisome high in the third quarter of 2012, global semiconductor inventories held by chip suppliers fell at a surprisingly fast rate in the fourth quarter, led by dramatic reductions for market leader Intel Corp.

Days of Inventory (DOI) for semiconductor suppliers in the fourth quarter declined by 5 percent compared to the third quarter—higher than the 1.5% initially forecast, according to an IHS iSuppli Supply Chain Inventory Market Brief from information and analytics provider IHS. Meanwhile, inventory value in dollar terms fell almost 5%—larger than the originally projected 3%.

“Semiconductor companies reduced their inventories at a faster-than-expected rate in the fourth quarter as they moved to adjust to weakening demand,” said Sharon Stiefel, analyst for semiconductor market intelligence at IHS. “Many chip suppliers demonstrated great agility in their reactions to the drop in demand. No. 1 semiconductor supplier Intel Corp. was the most aggressive, cutting its stockpiles by more than half a billion dollars—the largest decrease on a dollar basis of any chipmaker.”

Cutting inventories down to size

Among semiconductor suppliers that reduced their inventory levels between the third and fourth quarters last year, the percentage of decrease ranged from 5% to 25%, resulting in chip stockpile value of $60 million to nearly $600 million being shaved off in the companies affected, as shown in the attached table. And while inventory climbed in some companies during the same period, the spread was smaller, with the value of the increase worth slightly north of $40 million to approximately $250 million.

In the table and numbers cited in this release, memory suppliers are excluded from DOI and inventory value calculations because they report results much later than any other group in the semiconductor supply chain.

The rest of the companies covered effectively straddle the breadth of the semiconductor chain, including those engaged in the wireless, automotive, data processing and industrial segments.

Intel leads inventory liquidation

The largest decrease in inventory value during the fourth quarter belonged to Intel, down $585 million from the third quarter, representing an 11% reduction. The company made aggressive moves to cut stockpiles. It also reduced production as it migrated to a new process technology: 14-nanometer lithography.

AMD and STMicroelectronics also experienced large inventory declines of $182 million and $131 million, respectively, or 25% and 9%. In the case of AMD, inventory shrank for its microprocessors as a result of an amended wafer supply agreement with GlobalFoundries for reduced stockpiles. For its part, STMicroelectronics cut utilization rates after exiting its money-losing joint venture with Ericsson.

Two other chip suppliers had notable inventory drawdowns: Texas Instruments, down $91 million or 5%, due to weak end-market demand for its chips; and ON Semiconductor, down $63 million or 10%, as it burned bridge inventory and coped with reduced revenue.

Among inventory gainers, most faulted low seasonality and an uncertain global economy for a rise in chip stockpiles. Companies in this group included MediaTek, up $58 million or 14%; NXP Semiconductors, up $44 million or 7%; and Infineon Technologies, up $43 million or 6%.

Qualcomm bucks the trend

The one exception among gainers that could boast of a strong performance that was linked to an increase in chip inventory levels was Qualcomm, up $247 million or 24%. Given the strong market acceptance of its wireless chips in products like the Apple iPhone and iPad, Qualcomm is ramping up production and inventories in order to meet demand.

Semiconductor suppliers will be positioning their inventories in the first quarter this year to prepare for anticipated demand. Inventories are expected to rise in response to slightly positive global economic indicators as well as favorable semiconductor and end-equipment forecasts—unless major swings occur once more from the larger suppliers that could then end up skewing the industry.

The photonics industry gathered in Washington, D.C., to engage in a discussion about a national photonics initiative.

More than 100 representatives from government and the photonics industry convened in Washington, D.C., on February 28 to identify focus areas for a national photonics initiative (NPI), engaging academia, industry, and government in a collaboration to address barriers to continued U.S. leadership in photonics.

Titled “Optics & Photonics: Lighting A Path for the Future,” the event was organized by SPIE, the international society for optics and photonics, in partnership with four other technical organizations. The meeting included briefings by subcommittees and industry representatives on future needs, and perspectives of technology experts from the five key optics and photonics sectors — communication, defense, health and medicine, manufacturing, and energy –on how focus ideas for the NPI.

Recommendations are expected to be released later this month.

Establishment of the NPI was a key recommendation of the groundbreaking National Academy of Sciences report “Optics & Photonics, Essential Technologies for Our Nation” released in August 2012.

Last week’s event was attended by representatives of numerous government labs and agencies, such as the Department of Energy, National Institute of Standards and Technology, DARPA, the National Science Foundation, Office of Naval Research, and NASA. Industry representatives included attendees from Corning, Agilent, Northrup-Grumman, Alacatel-Lucent, and IBM.

Speakers touched on issues such as decreasing numbers of U.S. STEM (science, technology, engineering, and mathematics) graduates for the next generation of the workforce, the increased investment by other national governments in science and technology, and the lack of a cohesive photonics R&D direction in the U.S. in the face of well-defined initiatives in several other countries.

Read more on Europe’s plans for a single semiconductor strategy

Without a cohesive policy in support of photonics advances, speakers warned, the U.S. will slip from its place of technology leadership, manufacturing will continue to shift outside the U.S., and forward progress in photonics-enabled applications in medicine, cybersecurity, broadband, bridge and highway infrastructure safety, and other areas will be impaired.

“Photonics is a critical enabler for our high-tech economy,” said Paul McManamon, one of several members of the report that produced the committee who attended last week’s event. “The Internet, MRIs and CAT scans, and space mission spin-offs such as optical blood diagnostic instruments and infrared cameras that indicate hot spots in a fire are just a few examples of photonics-enabled applications. If the U.S. wants to retain high-tech leadership and jobs, we need the National Photonics Initiative.”

Committee members Alan Willner, Tom Baer, and Edward White, also attended and participated in a panel discussion.

Along with SPIE, sponsoring organizations included the Optical Society (OSA), IEEE Photonics Society, American Physical Society, and the Laser Institute of America.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 225,000 constituents from approximately 150 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided over $3.2 million in support of education and outreach programs in 2012.

Over 2,000 industry professionals attended last week’s SPIE Advanced Lithography, where important progress reports were revealed on extreme ultraviolet (EUV), lithography, directed self-assembly (DSA), metrology, and related topics. The event ran February 24-28 in San Jose, California.

"There was much discussion about the continuation of Moore’s Law, both in terms of the technical ability to shrink as well as the cost," said symposium chair Harry Levinson of GLOBALFOUNDRIES. "Achievement of a significant milestone for EUV sources that should enable more rapid progress in EUV lithography was reported, as was the potential for a EUV pellicle — a welcomed prospect.  And EUV extension to higher resolution, where we face many challenges, was the subject of many papers."

On Thursday morning, Cymer announced that they had met "key milestones" in recent tests of their EUV technology in an ASML lithography scanner.

David Brandt, Cymer’s Senior Director of EUV Marketing, reported new results for EUV power output as a light source for lithography, including a key announcement of 40 watts of EUV light in continuous operation using MOPA Prepulse technology. Hear more in the SPIE Newsroom video interview.

"In addition to the good news of EUV source power achievements, there were first signs of considerations for EUV adoption in high volume, coming from infrastructure development such as EUV mask actinic inspection, EUV mask OPC, and EUV lithography integration in a full CMOS flow with yield-defectivity investigations," said symposium co-chair Mircea Dusa (ASML US).

DSA continued to be a subject of high interest, Dusa said: "What caught my attention was the modeling developments combined with applicability on real circuits: a major milestone for future potential adoption."

Levinson and Dusa also noted the event’s high level of topical synergy evidenced by multiple joint sessions on topics such as lithographic solutions for chip-design layout, and its characteristic large conference-room audiences, particularly in the 2-year-old Etch conference and the 27-year-old Metrology and Process Control conference.

A well-trafficked two-day exhibition connected attendees with semiconductor suppliers, integrators, and optical device manufacturers, and a set of professional development courses on EUV lithography, DSA, optical lithography, FEOL/MOL/BEOL lithography, and related technologies began the week.

Click here to read day-to-day reports from the conference, including full audio and slides from plenary talks.

Accepted conference proceedings papers will be published in the SPIE Digital Library as soon as approved after the meeting, and in print volumes and digital collections.

Next year’s event will return to the San Jose Marriott and San Jose Convention Center, with conferences and professional development courses 23-27 February and the exhibition 25-26 February.

SPIE is the international society for optics and photonics, a not-for-profit organization founded in 1955 to advance light-based technologies. The Society serves nearly 225,000 constituents from approximately 150 countries, offering conferences, continuing education, books, journals, and a digital library in support of interdisciplinary information exchange, professional networking, and patent precedent. SPIE provided over $3.2 million in support of education and outreach programs in 2012.

 

 

Following a healthy expansion in 2012, the growth of the global automotive semiconductor market will decelerate slightly this year because of a slowdown in the aftermarket and portable navigation device (PND) segments.

Total semiconductor revenue in 2013 derived from automotive infotainment will reach $6.67 billion, up 3% from $6.48 billion, according to an IHS Automotive Market Tracker Report from information and analytics provider IHS. Growth this year will be lower than last year’s approximately 4% increase, but an acceleration is expected next year and beyond, with revenue growth of 3 to 7% each year during the next five years. By 2018, automotive infotainment semiconductor revenue worldwide will amount to $8.54 billion, as shown in the figure below.

Driving into the future

“Despite relatively soft growth this year, the automotive infotainment semiconductor market is set for continued expansion well into the future—fueled by major technology improvements that not only increase the functionality of cars but also improve the overall driving experience,” said Luca DeAmbroggi, senior analyst for automotive infotainment at IHS. “The muted growth this year is the result of decreased revenue in the aftermarket sector, where sales are depressed because cars are being sold with more complete infotainment features and systems, reducing the need for consumers to make upgrades. The progress of the market also is being slowed by a continuing decline in the PND segment, as motorists increasingly turn away from dedicated navigation devices and toward smartphone-based solutions.”

The drop in aftermarket and PND sales will eat into gains made in semiconductor sales to OEMs, which will rise a projected 6% from 2012 to $4.5 billion this year.

However, some signals of inventory burnout started in the fourth quarter of 2012 also are expected to dampen semiconductor production revenue in the first half 2013.

No dip in the road for automotive infotainment

Despite the reduced speed ahead, the automotive infotainment market overall remains immune to a downturn, unlike other markets that have been negatively affected by global economic uncertainties. The importance of automotive infotainment continues to increase as consumers clamor for built-in connectivity and telematics in cars, which now have become a major selling point of new vehicles. Used either alone or with mobile devices like smartphones and tablets, the infotainment systems in cars then allow occupants to access information, safety features and entertainment options at will, paving the way for a more seamless interaction with the outside world.

In the long run, however, technology changes in cars will not just be associated with new features and hardware integration into the vehicle, but will also be influenced by new hardware strategies.

For instance, automotive infotainment systems are quickly developing toward a PC-like architectural approach in which more functionality is dependent on a powerful main central unit, IHS Automotive believes. This means that software will acquire greater importance as a differentiator among brands seeking to make their infotainment products and features stand out. Applications previously implemented via hardware will be reconfigured instead into simpler programs reliant on a heavily centralized unit marked by strong processing power and memory capabilities.

Infotainment for the masses

On a semiconductor level, growth will be fostered not just by the implementation of more infotainment features into a vehicle, but also by broader technology diffusion among various vehicle segments—trickling from high-end luxury rides all the way down to entry-level pieces. Government regulations and mandates, including those relating to electronic stability control or tire-pressure monitoring, will also help boost semiconductor growth.

Healthy growth to occur in various infotainment segments

Within the automotive infotainment market, PNDs will be the only segment to decline in the coming years. Shipments of the once-popular devices will fall from 33.6 million units last year to 24.0 million by 2018. Meanwhile, the combined market this year for PND-related analog and logic application-specific standard product (ASSP) integrated circuits will be down 18 percent on the year to less than $330 million.

In contrast to PNDs, growth is forecast to take place in various other automotive infotainment segments, including in-dash navigation systems, connectivity in head units, telematics, and both satellite and terrestrial digital radio.

In-dash navigation systems, for instance, will enjoy increased penetration worldwide in vehicle head units, deepening from 19% last year to more than 32 percent in 2018. Total in-dash silicon revenues in 2013 will reach $290 million, up from $274 million in 2012.

For connectivity systems in head units—a major trend in infotainment—Bluetooth and USB remain the de facto standard for wired and wireless connectivity given a 35% attach rate for each in 2012.

Increased momentum will likewise be found in other technologies aiming to cover high-definition applications, such as High-Definition Multimedia Interface (HDMI) and Mobile High-Definition Link (MHL).

Telematics on the rise

In telematics, General Motors’ OnStar and other similar systems continue to have the most mature and widespread market presence. OnStar-type embedded systems hauled in revenue of $480 million last year, with takings by 2018 expected to reach $1.8 billion. Telematics will grow quickly in Europe in the next couple of years as regulations become effective, making features like eCall mandatory in vehicles for summoning help during emergencies.

Automotive OEMs will also lend increasing support to satellite and terrestrial digital radio systems, such as HD Radio in North America and Digital Audio Broadcasting in Europe. In particular, automotive silicon revenue from terrestrial digital radio formats will rise sharply within a span of six years, climbing from $55 million in 2012 to more than $140 million by 2018.

Global sales of semiconductors in January rose year-over-year, yet fell on a sequential basis as ongoing economic uncertainty is holding back more robust growth, iStockAnalyst today reported.

Worldwide sales of semiconductors were $24.05 billion the month of January, up 3.8% from January 2012 and down 2.8% from December 2012, according to the Semiconductor Industry Association (SIA).

"The across-the-board spending cuts that hit last week and the threat of a government shutdown later this month are just the latest examples of fiscal disruptions that sidetrack economic growth," said SIA CEO Brian Toohey.

On a regional basis, semiconductor sales rose 10.5% and 7.8% in the Americas and Asia Pacific respectively, but dropped 4.9 percent and 12.3 percent in Europe and Japan from the same period last year.

North and South America post best January of the last decade, the SIA noted.

Compared with December 2012, sales inched up 0.4 percent in Europe, while declining 5.5% in Japan, 3.5% in the Americas and 2.5 percent in Asia Pacific.

Semiconductor sales in the United States totaled more than $146 billion in 2012.