Category Archives: Metrology

In a session at Semicon West 2012, Intel’s Alan Allan, International Roadmap Committee (IRC) discussed the evolution of the International Technology Roadmap for Semiconductors (ITRS) front-end process section. “The overriding message for 2012 is that the roadmap has been largely stabilized with the significant changes that were input last year in the 2012 publication,” said Allan, who is part of the committee that puts together the Overall Roadmap Technology Characteristics (ORTC) chapter and the Executive Summary.  Large changes are 2013, however, are expected. “In the case of logic, a fairly a significant update and presentation of models has resulted in a structure that drives grand challenges and solutions. That was stabilized in the 2008/2009 timeframe and has served us well for the last couple of cycles. That will be evaluated this year for possibilities of adjustment in 2013,” he said.

More from the ITRS updates:

2012 ITRS update: Back-end packaging and MEMS

Roadmapping More than Moore: When the application matters

He noted that, for the most part, the targets for dimensional scaling and the power/performance management of leading devices, are set primarily by gate length in conjunction with equivalent scaling – things like strain, high-k metal gate and now the new multi-gate FET – which work in combination to manage power and performance.

iSimilarly, In the case of dynamic RAM, aggressive changes were made last year to take into account rapid acceleration of technology in DRAM and flash. “We do a survey every year amongst the members to check on the status as well as the long range driver outlook,” Alan said. “This year, the big change in looking out in time has to do with the 3D layers (i.e., 3D NAND). “We’re stacking up chips inside devices, so there’s 3D mechanical stacking that’s occurring in chips today. What we’re looking for in 2016 is actually the layer stacking of manufactured flash layers that will be as many 8-16 layers of those bits that will begin to be stacked in a process at the chip level. In the future, that’s projected to go up to 128 and 256 layers. You can imagine the ability to have a very high equivalent density in storage on a flash device in that timeframe,” he said. Further work on that is in the roadmap now and options are presented, but that will also be examined going forward for possible changes in 2013. “You can imagine the number of masks increase dramatically and the costs associated with that increase dramatically,” Allan said.  

The 450mm transition is also being examined, not only in the domestic USA side with the work in Albany, but the work underway in Europe at imec, to do their similar pathway of 450mm. Allan also emphasized the importance of More-than-Moore, showing a “shopping list” of the things that will be worked on this year that will be delivered at the December Hsinchu Taiwan public conference that kicks off the work for the 2013 roadmap.

Overall, expected changes will be based on work in the logic area, but the surveying and updating of memory and the progress of lithography, which Allan described as significant and progressing. He also noted new work to address max on-chip frequency, which has to be addressed with intrinsic transistor modeling. “Work with Purdue University this year to go from static modeling into the realm of dynamic TCAD modeling that will also be represented publicly,” he said.     

One notable change in the roadmap relates to how it is determined that a given technology is in volume production. “The whole point (of the ITRS) is to guide the research and development that prepares suppliers to deliver the early tools, early materials, that can get us into the early production level,” Allan said.  “Sometimes a company will be ahead of others in a particular advancement, and they’ll be following a different pathway. That has caused us to rethink the requirement for two companies to be out within a couple of months or six months of each other (to defined HVM). A leading company can be in production with significant volume, and we use that now as the timing, even if the fast followers come along a year or two later, because the supply chain can still count on significant manufacturing opportunity sales for those technologies,” Allan said.

Newport Corporation introduced a line of high-performance air bearing stages specifically designed for the 450mm semiconductor wafer initiative. The new DynamYX® DatumTM 450 and 450GT are based on Newport’s proven DynamYX 300-series wafer processing and inspection platforms with more than 1,000 worldwide installations.  They are designed to handle the larger travel ranges, payloads, and increased throughput requirements of next-generation 450mm tools.

The DynamYX Datum 450 and 450 GT deliver high resolution, dynamic positioning of a wafer chuck or similar substrate in two orthogonal translation axes from a single-plane carriage. For added flexibility, a vertical Z-axis with tip/tilt function and a rotary axis for wafer offset correction can be added on the carriage beneath the wafer chuck. Other options are available for encoder and interferometer feedback, if required.

High efficiency linear motors minimize heat generation and apply drive forces through the center of the gravity of the stage resulting in superior long-term repeatability and dynamic performance. The maximum velocity reaches 1.5m/sec., with acceleration of 2G (Y axis) and 3G (X axis).  With a rated payload of 20 kg, the new 300 Hz natural frequency stage features high accuracy (encoder feedback) at 50 nm (3 sigma) and high repeatability at 10 nm (3 sigma), making it ideal for tasks that require a high performing positioning stage for 450 mm wafers.

High efficiency linear motors minimize heat generation and apply drive forces through the center of the gravity of the stage resulting in superior long-term repeatability and dynamic performance. The maximum velocity reaches 1.5m/sec., with acceleration of 2G (Y axis) and 3G (X axis).  With a rated payload of 20 kg, the new 300 Hz natural frequency stage features high accuracy (encoder feedback) at 50 nm (3 sigma) and high repeatability at 10 nm (3 sigma), making it ideal for tasks that require a high performing positioning stage for 450 mm wafers.

Business Development Manager, Walter Silvesky, notes, “The all-new DynamYX Datum 450 and 450 GT feature unique performance characteristics that are achieved in part due to the highly differentiated and proprietary construction using advanced ceramics (SiC) that yields lightweight and incredibly rigid stage structures with high natural frequencies and exceptional thermal stability. A low-profile monolithic stage architecture with integrated pressure-vacuum air bearings provides unsurpassed stepping and scanning performance.”

 

July 12, 2012 — Barclays Capital is seeing various reasons for a Q3 2012 semiconductor fab order/shipment pull-back, following meetings around SEMICON West 2012 this week.

Barclays expects that TSMC’s pushout of its Fab 14 phase 2 caused Applied Materials to lower its revenue forecast for front-end semiconductor tools. Despite well-known shortages at 28nm for Qualcomm (QCOM), Nvidia (NVDA), AMD, and other chip suppliers, TSMC is likely hesitant to add capacity until it improves its 28nm high-k metal gate (HKMG) yields. Barclays interprets this situation as leaving lithography and process control orders intact, while more capacity driven players would see a delay. Other factors in the Q3 lull include a retrofit at Samsung’s Austin fab and a modest pushout at Fab 16.

Read more analysis on the Q3 dip from Citi here.

The “air-pocket” emphasized by AMAT and other front-end names was largely corroborated by the subsystem players, Barclays reports.

A combination of optimism on increasing capital intensity, foundry competition, and 14nm Intel and 20nm foundry investments are contributing to optimism about Q4 orders, and a solid capex year in 2013, Barclays analysts report. Therefore, the Q3 pause is seen as a typical seasonal — not cyclical — lull.

Read the full report at http://live.barcap.com/PRC/servlets/dv.search?contentPubID=FC1838098&bcllink=decode.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 12, 2012 — After meeting with various semiconductor manufacturing tool suppliers — Applied Materials, KLA-Tencor, Lam Research, Tokyo Electron, Teradyne and Cymer — at SEMICON West, Terence Whalen, semiconductor equipment sector analyst, Citi and colleagues share impressions on foundry spending plans and tool choices.

Whalen observes that Applied Materials (AMAT) expects a large ($500 million) H2 2012 foundry pushback, lowering its Q3 guidance to the bottom of its range, while other tool suppliers report virtually no change to their foundry customers’ plans. There is no change to TSMC’s aggregate 2012 capacity plan that Citi’s taiwan semiconductor analyst Roland Shu could find, though minor shifts in critical layer configurations could (at least partially) explain how AMAT could see weakness not experienced by other vendors.

Speculation has been “markedly negative” on H2 2012 semiconductor demand, Citi says, including talk of NAND capitulation, skepticism that foundry might weaken, and questions on whether Intel might reduce its blockbuster capex. Meetings at SEMICON West are largely confirming tool suppliers’ suspicions that there will be weak orders in Q3, picking up in Q4. Part of 3Q’s weakness may be amplified by heightened seasonality that arises given higher customer concentration, Whalen says. However, Citi accepts the potential for improving semiconductor demand into Q3, which might strengthen capex in Q4.

Foundry orders will rebound sooner in H2 2012 than NAND, which see increases in H1 2013, Citi predicts. Based on its interviews with Lam Research and others, Citi expects flat or slightly better foundry capex in 2013, driven by steady 28nm deployments.

Some notes on equipment trends:

  • Single-wafer clean is gaining traction as chipmakers performance demands rise (source: Lam Research);
  • Intel’s investment in ASML is likely a move to coordinate supplier timing to chipmaker need on the transition to 450mm wafers;
  • 20nm foundry activity is increasing (source: KLA-Tencor);
  • Tokyo Electron is looking to grow its business in semiconductor packaging (recent NEXX buy) and organic light-emitting diode (OLED) fab.

Read more from Whalen at http://ir.citi.com/%2BnkGI0K%2BGgl2DqdhefgsTRHbYsIMp6NZjGciK%2FrzUDc%3D

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 11, 2012 — Rudolph Technologies Inc. (NASDAQ:RTEC), process characterization equipment and software provider for microelectronic manufacturers, launched the MetaPULSE FP thin film metrology system with an initial sale to a major manufacturer of flat panel displays (FPD) for handheld mobile devices.

This MetaPULSE FP tool is being used for measurements on Gen-4.5 substrates (790 x 930mm), which are used to make displays for e-readers, tablets, phones and other mobile devices. The FPD maker will qualify it on an R&D line then ramp to high-volume production.

Picosecond Ultrasonic Laser Sonar (PULSE) technology measures single and multi-layered opaque thin films and was developed to serve semiconductor manufacturing process control needs. MetaPULSE FP uses Rudolph’s PULSE Technology to measure the critical thickness of metal layers deposited during display manufacturing, thanks to collaborative development with FPD and light-emitting diode (LED) manufacturers and process tool providers.

The new tool measures metal film thickness on product structures without contacting the device, avoiding damage or destructive testing, said Dr. Avishai Kepten, VP and GM of Rudolph’s Metrology Business Unit. The MetaPULSE measurement head is coupled with customized glass substrate handling to meet the needs of displays makers, which work on panels much larger than semiconductor wafers, said Rudolph’s director of metrology product management, Tim Kryman.

The metrology tool inspects gate metal layers such as Cr, Ta, Al, Mo, Ta, as well as deposition of Ti and/or Al source and data lines in single or multi-layer stacks. These metal layers build up the thin-film transistors (TFT) in either amorphous or polycrystalline silicon applied to the rear of the glass.

Rudolph is engaging with FPD makers to install the tool on larger-generation display panel lines for TV apps. “Ongoing development activity is focused on repackaging the PULSE measurement head with larger substrate handling to accommodate the full range of FPD sizes currently in production.  Our goal is to provide this critical metal metrology for all generations of FPD manufacturing,” adds Kepten.

Rudolph Technologies, Inc. provides defect inspection, process control metrology, and data analysis systems and software used by semiconductor device manufacturers worldwide. The company’s yield management solutions are used in both the wafer processing and final manufacturing of ICs, as well as in emerging markets such as FPD, LED and Solar. Additional information can be found on the company’s web site at www.rudolphtech.com.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 11, 2012 — Solid State Technology and SEMI announced the Best of West Award winner — Jordan Valley Semiconductor — during SEMICON West today. Jordan Valley Semiconductor’s QC-TT defect inspection system garnered the award for its ability to predict breakage in 450mm wafers, which are subject to more handling steps and more thermal stresses due to their larger size.

The award recognizes important product and technology developments in the microelectronics supply chain and is presented to a qualifying exhibitor at SEMICON West, the largest and most influential microelectronics exposition in North America. Best of West finalists were selected based on their financial impact on the industry, engineering or scientific achievement, and/or societal impact.

Jordan Valley Semiconductor’s QC-TT predicts damage on 450mm wafers in the semiconductor manufacturing environment, and can identify slip and other crystalline defects in wafers. These defects can contribute to lower yields.

Best of West is determined by a prestigious panel of judges representing a broad spectrum of the microelectronics industry.

450mm is a major topic at the show, with Intel and ASML announcing an investment relationship to fund 450mm/EUV lithography development, as well as a host of new products. Also read: The elephant has left the room — 450mm is a go!

SEMI is a global industry association serving the nano- and microelectronic manufacturing supply chains. For more information, visit http://www.semi.org.

PennWell Corporation is a diversified business-to-business media and information company that provides quality content and integrated marketing solutions for diverse industries, including Solid State Technology for the microelectronics manufacturing sector. Learn more at www.solid-state.com.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 10, 2012 — Semiconductor equipment sales will reach $42.4 billion in 2012, according to the mid-year edition of the SEMI Capital Equipment Forecast, released at SEMICON West 2012.

Driven by consumer demand for tablets, smartphones, and other mobile devices, chipmakers continue to purchase manufacturing technology equipment, but at a slightly lesser rate than in 2011. The forecast indicates that, following a 9% capex increase in 2011, semiconductor makers are spending 2.6% less in 2012, although 2012 will be the fourth highest spending year in history, following 2000, 2007, and 2011. The industry is “absorbing new capacity,” said Denny McGuirk, president and CEO of SEMI. 2012 is part of a “multi-year market expansion,” he added, with 2013 spending to accelerate to $46+ billion.

In wafer processing equipment, the industry will spend $33.0 billion in 2012, the second highest spending year ever for this segment (the best was 2011), falling 3.8% year-over-year (Y/Y). Wafer processing equipment is the largest product segment by dollar value

The market for test equipment ($3.8 billion) and assembly & packaging equipment ($3.4 billion) will remain essentially flat (up 0.2% and 0.9%, respectively, Y/Y).

Growth is forecasted in 2 regions in 2012; Korea and Taiwan will become the largest two markets for equipment spending. 

In 2013, Korea and North America are expected to claim the top spots, with Taiwan slipping to third place in terms of equipment spending.

Tables. SEMI’s market size forecast in billions of US dollars and percentage growth over the prior year. SOURCE: 2012 SEMI Mid-Year Consensus Forecast.

SEMI is a global industry association serving the nano- and microelectronics manufacturing supply chains. For more information, visit www.semi.org or go straight to www.semi.org/en/MarketInfo.

Visit the Semiconductors Channel of Solid State Technology!

July 10, 2012 — Michael A. Fury, Ph.D., reports from the pre-opening day (July 9) of SEMICON West at the Moscone Center in San Francisco, CA. The first day hosts SEMI’s press conference on semiconductor revenues and the SEMI/Gartner Market Symposium.

The day before the official opening of SEMICON West 2012 started as always with the SEMI press conference. Semiconductor revenue forecasts for 2012 range from 0.4% to 8.6% growth for the next 6 months, thus providing support for the scenario of your choice. The equipment forecast for 2012 is $42.4B, down 2.6% from 2011; 2013 is forecast to be back up to $46.7B. The current rank order for equipment spending by region is S. Korea, Taiwan, US, Japan, Europe, China and ROW. Fab and packaging materials are forecast to be $49.3B for 2012, up 2.9% over 2011 with another 4.1% growth expected for 2013. Since 2009, spending on packaging materials has been within 6% of the spending on fab materials. SEMI states that the 450mm transition will occur, with R&D cost estimates varying widely from $8B to $40B, depending on how efficiently the conversion is executed. Advance registration for this 42nd SEMICON West of over 31,000 attendees is up slightly over 2011.

The remainder of the day was devoted to the SEMI/Gartner Market Symposium, another annual event that provides some high level insight into who is doing what to whom, and why, and at what cost in the realm of electronics manufacturing. Intel’s Senior Fellow Mark Bohr provided his perspectives on what is needed to maintain silicon technology leadership for the mobility era. Trigate FinFET devices are fully depleted, providing a steeper sub-threshold slope of ~70mV/decade compared to ~100mV/decade for the best planar devices. A family of devices is being based on the tri-gate concept, with single fins for low-power or low-performance applications and up to six fins in a single device for high-performance needs. The 22nm tri-gate operates 37% faster and 0.2V lower than the previous 32nm planar technology. Interconnects for 22nm range in pitch from 80nm to 360nm for M1-M8, with top metal M9 at 14µm. The 80nm pitch is used repeatedly as it is the tightest pitch that can be manufactured with single step patterning. The 22nm Ivy Bridge processor comprises 4 cores with integrated graphics and houses 1.4 billion transistors in 16mm2. As to the oft-foretold end of Moore’s Law, Intel presently has teams working on 10nm and 7nm technologies, so the researchers in Hillsboro have not drunk the Kool-Aid of doubt.

Figure 1. Intel transistors.

Bob Johnson, Gartner’s VP of Semiconductor Manufacturing, continued the theme with an overview of semiconductor equipment investment for a mobile world. The market driver assumptions include 2012 growth rates of 4.9% for PCs, 6.5% for mobile phones, 7.6% for NAND, and a whopping 78% for media tablets. Over the next several years, 76% of the industry growth will be driven by solid state drives, media tablets, smart phones and mobile PCs. The 2016 projection of 1.4B smart phones per year is the equivalent of 1/7 of the world population, truly a staggering number. The top 5 fab capital spenders now account for 64% of the total industry spending on equipment; the top 10 extend that to only 76%. The current 5-year CAGR for capital spending is 0.6%. NAND spending surpassed DRAM in 2011, and will continue to lead for the foreseeable future. Allocation of industry R&D funding for 450mm is expected to be 50% in 2013 and 75% by 2016.

Figure 2. Gartner’s forecast for mobile semiconductors.

Dan Tracy of SEMI’s industry research & statistics program provided their mid-year update on the equipment and materials outlook. The equipment highlights were cited above. Silicon wafer shipments for 2013 are forecast to be up 7%, with all other sizes flat or declining. Photoresist for 193nm makes up ~45% of the total market, and is expected to grow 10.3% in 2013 to $610M. On a 2011 $24.2B base, wafer fab materials overall are projected to grow 3.4% in 2012 and 5.0% in 2013. The lead news (pun shamelessly intended) for packaging materials is the shift from gold to copper for wire bonding. Volumes are increasing even as revenue declines, reflecting the lower cost of copper. On a 2011 $23.6B base, packaging materials are forecast to grow 2.4% in 2012 and 3.2% in 2013. Another hot area is underfill materials, with a current $220M market expected to grow to $300M by 2015. TSV is a ~$7M materials market today, but is expected to grow dramatically to $450M by 2016, about half of which will be for the temporary bonding adhesives needed for wafer handling.

Figure 3. SEMI’s wafer fab materials forecast.

Ken Dulaney, VP & Distinguished Analyst at Gartner, continued the mobile device theme with perspectives on pocket power: mobile devices migrating to hand held size. At the other extreme, picture the replacement of conference room white boards with 80” touch screens. Several major airlines have placed two tablet computers in every cockpit to replace about 40 pounds of mandated safety procedure documentation. Android has risen rapidly to dominance in mobile operating systems, with the Windows phone system the only credible threat on the horizon. Unlike Apple, Microsoft and Intel, Google continues to resist engaging in TV advertising to build brand identification with Android and customer loyalty, and thus may have an Achilles heel. Apple mobile products represent 9% of the volume but 75% of the profits. Corporate users are driving their IT departments toward replacing Blackberry with iPhone, but this requires an ‘Apple-way or the highway’ commitment for the corporate enterprise system. Enterprise clients are expected to largely skip Windows 8 because the conversion to Win7 was so recent, many custom applications are still being ported to Win7, and Windows 9 is already anticipated for 2014.

Figure 4. Gartner’s forecast for mobile OS.

Christian Dieseldorff of SEMI’s market research program brought us up to date on the world fab forecast for 2012-2013 and the near-term trends for fab spending and capacity. Fabs that are increasing capital spending in 2012 are Intel, Samsung, TSMC, Hynix and UMC. Despite hard economic times, Japan continues to host the greatest number of fabs in the world, and will remain in the lead through 2017. However, only Taiwan and China are expected to have a significant increase in the number of fabs over this period, with other regions closing fabs. In 2000, the top 5 IDMs accounted for 12% of the global installed capacity, excluding discretes; in 2013, they will be 54%. In contrast, the top 4 foundries moved from 43% to 57% over the same period.

Figure 5. SEMI’s fab equipment spending predictions.
Figure 6. SEMI’s capacity trends data.

Samuel Wang, Research Director at Gartner, concluded the event with a presentation on the implications of mobile device proliferation for foundries. Foundry revenue will grow 9.9% in 2012 to $32.7B, fueled in part by a tight supply of 40nm and a shortage of 28nm devices. And yet, 40nm is already expected to peak and begin its decline in 2013. In effect, looking forward, 28nm and 20nm have become mainstream, displacing 32nm and 40nm. In the next 5 years, 70% of the foundry revenue growth will be attributable to mobile devices. The combination of device sales projections and wafer production carries the implication that 300mm wafers are being produced with an average defect density of 0.15/in2. The large die size for leading 32/28nm mobile processors is a significant contributor to the current ship shortage in these technologies; smaller chips yield better. Given their manufacturing maturity, historic precedence says that these should be operating at a defect density of 0.10/in2.

Figure 7. Gartner’s estimation of revenue by nodes.

Michael A. Fury is a contributing blogger for Solid State Technology and director and senior technology analyst, Techcet Group.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 9, 2012 — Ushio Inc. (TOKYO:6925) launched its thinnest ultraviolet (UV) irradiance meter "UIT-q365 (UIT-Theta365)." The meter is 4.9mm thick, used to keep major UV processes within spec. It can be used during optical film manufacturing for flexible electronics, as well as during adhesion of precision optical parts.

The meter is launching at SEMICON West 2012. USHIO will also demonstrate its dedicated Android application “BladeNavi,” used for measurement, monitoring, and analysis of UV irradiance, which is set to launch in October 2012.

More SEMICON West product previews: Metrology, inspection, and process control products, Lithography products, packaging products, Wafer processing and handling products

The UIT-q365’s thin unibody design incorporates a meter, sensor, and secondary battery to allow measurement of UV irradiance in hard-to-reach locations or in-situ setups. Measurements are reportedly highly accurate and repetitive measurement even for scattered continuous light. With a rechargeable battery, re-use is unlimited.

The product operates in- or off-line. In off-line data-logging mode, it can be placed on a film running on a production line to log measured data, then removed from the line for processing measured data. In on-line mode, it provides real-time measurement of irradiance of a spot UV light. With “BladeNavi” the tool can be operated with one hand.

Visit USHIO at SEMICON WEST, July 10-12 in San Francisco at the Moscone Center, South Hall, Booth 2544.

USHIO INC. handles a variety of light sources for a broad range of industrial applications, including high-brightness discharge lamps for cinema projectors and data projectors as well as halogen lamps for general lighting and OA equipment and UV irradiance meters. It also manufactures and markets products incorporating its own light sources, such as optical systems for manufacturing FPDs and other electronic components and devices as well as imaging equipment led by digital cinema projectors. Visit www.ushio.co.jp/en.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 8, 2012 — Don’t fill up your exhibit hall meetings list just yet. Following are some of the new and flagship products that will appear this week at SEMICON West, July 10-12 in the Moscone Center of San Francisco, CA.

More SEMICON West product previews:

Metrology, inspection, and process control products

Lithography products

Semiconductor packaging products

Wafer processing and handling products

Gas distribution equipment and other products

Air Liquide will highlight several product lines at SEMICON West and Intersolar North America, including ALOHA, dedicated to the development, manufacturing, and marketing of advanced precursors for ALD and CVD applications. Other features at the booth will be the company’s SaFlow specialty gas distribution equipment for solar and nanotechnology applications; BBr3 (Boron Tribromide) alternative boron dopant source for solar; Total Gas and Chemical Management services for fabs; Balazs NanoAnalysis clean manufacturing solutions and analytical services for advancing high-technology products through materials characterization and contamination reduction; and the next-generation Flexible Factory Control System (FFCS). Air Liquide, South Hall, Booth 1007.

 

Electrostatic discharge meter

TREK INC. is debuting the Model 511 Hand-Held Field Meter, an economical and reliable way to monitor electrostatic fields for the semiconductor, photovoltaic/solar and electronics manufacturing industries. Model 511 is a portable, chopper-stabilized, non-contacting electrostatic field meter designed for testing and auditing of electrostatic fields. An optional charge plate accessory enables Model 511 to be used for the balancing and testing of ionization blowers and devices. The unit can also be used for surface voltage measurements of photoconductors or dielectric surfaces and for measurement of electrostatic potentials on film, polymers, and paper. The unit has a switch-selectable measurement range of either ±2 kV per inch or ±20 kV per inch. Measurement accuracy is better than 5% of reading. It operates in ionized or non-ionized environments. Additional features include an easy-to-read LED display, a hold-switch that preserves a reading on the display until released, and a push-button ‘auto-zero’ feature that removes any offset voltage. TREK INC., South Hall, Booth 1123.

 

Filtration and purification products for leading-edge fabs

Pall Corporation (NYSE: PLL) will unveil advanced filtration and purification products targeting 22nm and smaller-node semiconductor fabs. The products are used in chemical, gas, photolithography, ultrapure water and CMP applications. The 10nm Ultipleat SP DR G2 filter uses a proprietary, highly asymmetrical membrane to remove particles down to 10nm in size. The larger-diameter G2 filter provides 45% more liquid flow at the same differential pressure as the current standard filter. It can be implemented for critical, ambient, wet chemical surface preparation processes. The all PFA disposable UltiKleen G3 HiT KC assembly will also debut, featuring a Pall Excellar ER filter cartridge with a uniquely modified, 15nm-rated PTFE membrane that remains wet in critical aqueous chemicals. The UltiKleen G3 HiT KC Assembly’s design improves fluid dynamics and enables efficient removal under new, higher-temperature SPM processes. The new filter has been shown to reduce sub 20-nm particles by more than 25% in 120°C sulfuric acid filtration compared to previous designs. Pall will showcase the new Profile Nano filter developed to classify both ceria and low solids colloidal silica slurries typically used in advanced CMP processes, such as shallow trench isolation (STI) and barrier copper. The Profile Nano filter contains extremely fine fibers that improve particle removal efficiency to better than 99% at 200nm. Pall will introduce the IonKleen CAF purifier/ filter for critical point-of-use applications in ultrapure water. It can reduce trace metal ions to < 1 part per trillion (ppt) at challenge levels up to 10 ppb and water flow rates up to 20 liters per minute. In addition to the active polyethylene membrane, the large area, G2 style cartridge contains a highly efficient filter for removing 10nm and greater particles. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Filtration for advanced patterning processes

Pall will introduce two products for advanced patterning applications: the 2nm PE-Kleen filter with an extremely tight membrane to prevent defects in 14nm semiconductor patterning, and the Nylon Extension filter designed to increase photoresist contact time within the nylon 6,6 membrane. The 2nm PE-Kleen filter is constructed of ultra-high-purity, high-density polyethylene. The Nylon Extension filter increases contact time to enhance nylon 6,6 adsorption. The removal of insoluble polymer components by adsorption helps reduce bridge type defects. The new 2nm PE-Kleen and Nylon Extension filters are available in several different cartridge and capsule configurations, including the quick-disconnect PhotoKleen EZD-3X assembly. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Gas purification and filtration products

Pall will also uncrate products for use in ultra-high-purity gas applications. The Gaskleen II EL purifier is a compact purifier assembly suitable for intermittent process flow rates up to 50 standard liters per minutes (slpm). The assembly can be filled with any of Pall’s AresKleen purification materials to remove molecular contaminants such as moisture, oxygen, carbon dioxide and hydrocarbons to levels below 1 part per billion (ppb) from process gases. The purifier also contains an integral filter that removes particles ≥3nm with a >9 log efficiency. ChamberKleen diffusers will launch with NW40 and NW50 flanges for venting of load lock or other vacuum chambers where large volumes of gas need to flow in a short time. The assembly contains a high-flow filter pack capable of removing particles ≥3nm in size. It operates at differential pressures of up to 0.72 MPa (105 psid) to enable higher inlet pressures and faster chamber filling times. Pall Corporation (NYSE: PLL), South Hall, Booth 1519.

 

Wafer handling

CHAD Industries will demonstrate automated wafer handling of electrostatic wafers carriers with automated SMIF pod delivery, exhibiting the WaferMate300-2 wafer handling workcell running WaferWare software. The workcell will be configured with both a 300mm FOUP loadport and 200mm SMIF-EZ loadport. It can automate handling of standard and nonstandard wafers. The SMIF-EZ loadport will be populated with 200mm electrostatic carrier wafers from CHAD’s Technology partner Beam Services. The carriers will have a smaller-diameter wafer mounted to them to demonstrate standard wafer handling of a challenging size. CHAD will also be demonstrating Adept Technology’s autonomous indoor vehicle (AIV) for automated SMIF pod delivery. The transporter is a safe autonomous indoor vehicle for delivering 200mm, 150mm, and reticle SMIF Pods within semiconductor fabs with no additional infrastructure required. It reduces vibration and handling in wafer delivery. CHAD Industries, North Hall, Booth 6274.

 

Jetting system and new cartridge

Nordson ASYMTEK will demonstrate its new NexJet jetting system with the one-piece Genius Jet Cartridge. As simple as an ink jet printer cartridge, Genius is easily removed and replaced in seconds without tools. The Genius Jet Cartridge dispenses up to 50 million cycles before a replacement is necessary, with memory to store and communicate usage data. This intelligence enables quality dispensing and high yields. The advanced design of the NexJet system includes new software that widens the process window to ensure stable and repeatable results for high-yield production and broadens the range of jettable fluids and applications. The NexJet System includes new precision software control of the jetting process, enabling successful results with both low- and high-viscosity fluids, and accommodates a broad range of fluids for applications such as flip chip underfill, chip scale package, ball grid array, package-on-package underfill, precise coating, and adhesive dispensing. Each NexJet System ships with two Genius Jet Cartridges and a specially designed Genius Jet Cartridge Cleaning Tool. The NexJet system is available as an upgrade for Nordson ASYMTEK’s Spectrum, Axiom, and DispenseMate Series platforms, and can accommodate six reservoir sizes: 3cc, 5cc, 10cc, 30cc, 2.5 oz, and 6 oz. The Genius Jet Cartridge comes in 4 sizes. Nordson ASYMTEK, North Hall, Booth 6071.

 

Printing tool & epoxy

Optomec will demonstrate several devices with 3D printed electronics fabricated with its Aerosol Jet tool: an automotive tank with fill level sensor and control circuitry (courtesy of Neotech Services MTP); a multi-chip package (MCP) with fine line conformal interconnects; and aircraft components with printed antennae, sensors, and power distribution circuitry. Optomec will also showcase a new conductive epoxy developed by Resin Design specifically for use with the Aerosol Jet printing process and its fine-feature capabilities. Together, this novel material and the Aerosol Jet system can be used to produce high-conductivity adhesive features for surface mount and high-stress interconnect applications. Aerosol Jet technology can print a wide variety of electronic materials directly onto a wide variety on non-planar surfaces. The tool produces a high-velocity material mist stream, printing interconnects for vertically stacked dies, without adjustment to Z-height positioning. The system can print fine features to <10µm and wide features >1cm. Multiple print heads can be independently controlled by the Aerosol Jet system and each print head can have multiple nozzles providing a high degree of system scalability to meet application throughput requirements. Optomec, North Hall, Booth 6665.

 

Active piezoelectric vibration cancellation system

TMC’s STACIS 2100 active piezoelectric vibration cancellation system is the industry standard solution for the most sensitive instruments in noisy environments. Primarily designed to isolate precision microlithography, metrology, and inspection equipment in advanced semiconductor factories, STACIS is hundreds of times stiffer than air isolators and suffers from none of their limitations. There is no soft suspension and, unlike active air systems, STACIS can be “stacked” (placed beneath a tool with an internal active air isolation system). STACIS employs advanced inertial vibration sensors and piezoelectric actuators to cancel vibration by sensing floor vibration, then expanding and contracting the actuators to filter out floor motion. With STACIS, precision tools can be located in noisy environments and still meet the tool manufacturer’s floor vibration criteria. TMC, a unit of AMETEK Ultra Precision Technologies, South Hall, Booth 2122.

Check out Solid State Technology’s coverage of SEMICON West 2012!