Category Archives: New Products

Bruker announced today the release of the Dimension Icon SSRM-HR, a new atomic force microscope (AFM) configuration including the Scanning Spreading Resistance Microscopy (SSRM) module, designed specifically for high-resolution (HR) semiconductor characterization. Integrating Bruker’s industry-leading Dimension Icon AFM platform with an environmental control system capable of 1ppm gas purity and high-vacuum control, the Dimension Icon SSRM-HR system provides vastly improved repeatability and spatial resolution in semiconductor carrier profiling. As confirmed by Imec (www.imec.be), buried gate oxide layers as thin as 5Å are detected routinely.

“As our customers continue to improve their products to follow the semiconductor roadmap, higher spatial resolution electrical characterization is a key requirement,” said David V. Rossi, Executive Vice President and General Manager of Bruker’s AFM Business. “The new Dimension Icon SSRM-HR combines the leading productivity and large programmable stage of our top performance AFM platform with atomic resolution, and the most accurate carrier profiling optimization to meet the specific demands of next-generation technology nodes.”

“We chose Bruker because they offer the only solution that meets our needs,” added Prof. Vandervorst, Imec Fellow and Department Head, Materials and Components Analysis, based in Leuven, Belgium. “Our decision followed a rigorous evaluation of spatial resolution and repeatability in carrier profiling. Being at the forefront in tackling the roadblocks to continued technology scaling means we have the most stringent requirements.”

semiconductor solutions

The Temescal Division of Ferrotec Corporation, a global supplier of materials, components, and precision system solutions and a manufacturer of electron beam evaporation systems, today announced the Temescal UEFC-5700, a ultra-high efficiency electron beam metallization system for lift-off compound semiconductor applications. The UEFC-5700 is the first Temescal system to incorporate the Auratus Deposition Process Enhancement Methodology, offering near-perfect uniformity while delivering up to 40 percent increases in material collection efficiency, resulting in significant cost savings on process materials like gold and platinum compared to traditional box coaters.

The Temescal UEFC-5700 is designed for compound semiconductor production environments that use lift-off electron beam evaporation processes. The UEFC-5700 features a unique conical shaped vacuum chamber that reduces volume and surface area, significantly reducing pump-down time. The system also features a patent-pending High-Uniformity Lift-off Assembly (HULA) design that uses a dual-axis motion to optimize collection efficiency.

"With the UEFC-5700, we have significantly improved the throughput efficiency of traditional lift-off coating processes. From the unique chamber design to the HULA carrier system, the UEFC-5700 improves pumping and batch capacity with excellent uniformity across all evaporated materials, enabling the system to run more wafers and more batches per day than any conventional box coater," said Gregg Wallace, managing director of Ferrotec’s Temescal division. "The biggest benefit to users of this system is the improvement in uniformity and collection efficiency of all materials being evaporated. For IDMs and foundries, this equates to improved yields of better devices that cost much less to produce. "

The Temescal UEFC-5700 offers increased wafer production capacity, up to forty-two 150mm wafers in a batch, without a significant change in raw material or energy consumption. In terms of footprint and power consumption, the UEFC-5700 is virtually identical to the FC-4400 system, Temescal’s largest production system.

With its unique conical shaped load-locked chamber and 44,000 liters/second of installed cryogenic pumping capacity, the UEFC-5700 reaches process pressures significantly faster than most conventional box coaters. Systems have reached 5E-07 Torr in under 10 minutes, improving production cycle times and the number of batches that can be run per shift or day.

The system incorporates Temescal’s Auratus deposition process enhancement methodology. Auratus is a patent-pending proprietary optimization methodology for lift-off electron beam evaporative coating that incorporates patent pending technology to achieve unprecedented levels of uniformity, precision, and collection efficiency.

electron beam metallization system

ProPlus Design Solutions, Inc. yesterday launched NanoSpice, the next-generation high-capacity, high-performance parallel SPICE simulator for giga-scale circuit simulation.

“New simulation technology is essential for deep nanometer technology designs where process variations significantly impact circuit yield and performance,” affirms Dr. Zhihong Liu, ProPlus’ executive chairman, who adds that designers cannot settle for capacity or performance and sacrifice accuracy. “Demand has never been greater for highly accurate, giga-scale simulations for large post-layout designs.”

The need for giga-scale simulations is being driven by complex designs and because of the large number of simulations required to design for variation effects. Traditional SPICE simulators lack capacity requirements even with parallelization. FastSPICE simulators that deliver capacity at the cost of accuracy are losing steam as an increasing number of designs require post-layout verification that weakens circuit hierarchy. FastSPICE’s table model approach, as well as its approximated matrix solutions, is prone to unreliable results and poor usability for complicated giga-scale designs with multiple operating modes and supply voltages.

Introducing NanoSpice, Giga-Scale Pure SPICE Circuit Simulator

NanoSpice is a pure SPICE circuit simulator matching the industry’s highest accuracy standard. Because it shares the same core SPICE engine with ProPlus’ BSIMProPlus, the device modeling software used by all leading foundries, it has built-in foundry-validated accuracy and compatibility. It has full SPICE analysis features and supports industry-standard inputs and outputs.

NanoSpice runs 10 to more than 100 times faster than traditional SPICE simulators. It is able to handle all circuit types, with an ability to simulate large-scale circuits of 50-million or more elements for generic circuit types, and 100-million or more elements for memory circuits.

It is ideally suited for applications such as memory, analog/mixed-signal, I/O, custom digital and standard cell design. NanoSpice handles challenging designs, including the characterization of large embedded SRAM blocks, post-layout analysis of analog circuits, sign-off simulation of full-chip power integrated circuit (IC) or wireless transceiver circuits, and accurate clock tree and critical path analysis.

For example, NanoSpice was used to simulate a multi-million element, post-layout analog/digital converter (ADC) circuit in less than two days with pure SPICE-comparable accuracy measured in signal-to-noise ratio (SNR). Other parallel SPICE simulators took several weeks to complete this task.

Developed to enable giga-scale simulation and for handling process variations from 3-sigma to high-sigma Monte Carlo simulations with full matrix solving and without approximations in model calculations, NanoSpice uses effective model-handling and high-performance parallelization technology with high memory efficiency. In a recent evaluation, NanoSpice ran sign-off simulation on a 576-million element, full-chip memory circuit in eight hours using eight threads with 15 gigabytes of memory consumption.

NanoSpice is tightly integrated with ProPlus’ DFY platform NanoYield for variation analysis with efficient process, voltage and temperature (PVT) corner sampling, fast Monte Carlo or silicon-proven, high-sigma sampling with technology licensed from IBM.

When a large number of simulations are required, NanoYield enables near-linear scaling over multiple CPUs on a server or distributed computer farm, delivered through a cost-effective parallelization license model. The tight integration between NanoSpice and NanoYield can accelerate variation analysis to achieve the optimum yield versus power, performance and area trade-off by more than 20 times over using NanoYield with an external simulator.

NanoSpice, tightly integrated with ProPlus’ design-for-yield (DFY) platform NanoYield,

can be used to perform variation analysis, as shown here.

The newest AVIA micromachining laser from Coherent, Inc. (Santa Clara, CA) delivers the highest power commercially available at its wavelength and repetition rate. Specifically, the AVIA 355-33 offers 33 Watts of output at 355 nm (at 110 kHz). This high power translates directly into increased production efficiency, thus lowering cost per part.

 The AVIA family of lasers has the longest track record of highly reliable, 24/7 operation in microelectronics manufacturing, and offers several features specifically designed to enhance its processing capabilities and minimize long term cost of ownership. These include ThermEQ, PulseEQ, and PulseTrack which enable precise control of the delivered pulse energy, allowing the OEM to define and optimize their laser process. The laser also has an automated harmonic crystal shifter to maintain constant output power for >20,000 hours and to maximize lifetime. In addition, the laser’s unique Posilock beam position sensor and feedback loop results in very high beam position stability over the life of the laser, thereby ensuring process consistency. 

 The AVIA 355-33 is targeted at a wide range of demanding, high-throughput microelectronics fabrication applications. Typical examples include via-hole drilling in printed circuit boards and flip chips and dicing and scribing of silicon wafers containing low-k dielectric materials.

coherent avia

surface metrology

PI miCos announced the release of a new 2-axis precision linear translation stage. The new MCS XY precision linear stage was designed for industrial precision motion control and surface metrology applications and combines robustness and high accuracy.

This precision motorized XY positioner handles loads of up to 20 kg and offers resolution down to 0.005 µm over a travel range of four inches, based on an interferometric linear encoder. An option with 0.001 µm resolution is also available. A precision machined base and high accuracy bearings provide straightness/flatness of two microns. Despite the high accuracy, the translation stage was designed for industrial robustness. Transmitted light applications benefit from the large clear aperture of 150x150mm.

Very Wide Velocity Range

The stage can achieve velocities from as low as one µm/second all the up to 200 mm/second (eight inches/second). Several PI miCos motion controllers are available.

Motor and Sensor Options

Several motor and sensor options are offered. For applications where closed-loop operation is not required, lower-cost open-loop stepper motors are recommended. Several closed-loop versions are available for higher performance demands: stepper motors, DC servo motors and direct-drive electromagnetic noncontact linear motors.

For high speed operation the direct-drive linear motors are recommended. Extremely smooth motion, with constant velocity at the low end down to single digit microns/second is achieved with PI miCos stepper motors SMC Hydra motion controllers.

Multi-Axis Options

The MCS XY precision linear translation stage can be combined with linear vertical positioners, rotary positioners and goniometers from PI miCos.

Vacuum Positioners

PI miCos specializes in vacuum compatible positioning systems from 10-3 to 10-10 Torr. Basically all of our linear and rotary stages can also be ordered for vacuum use.

Brooks Instrument, a provider of advanced flow, pressure, vacuum and level solutions, has expanded its GF 40/80 Series portfolio of thermal mass flow controllers. Broader capabilities, including increased flow rates up to 50 slpm and a “normally open” valve for non-hazardous gas applications, are ideal upgrades for users of Aera (Hitachi), Celerity, Tylan, Mykrolis, Millipore and Unit mass flow controllers, as well as other competitive devices.

The GF 40/80 Series leads the market in long-term zero stability at less than 0.5% per year. This specification means the device will return more reliable accuracy data for a longer period of time, giving users greater confidence in the numbers reported. The GF 40/80 Series is also available with Brooks’ patented MultiFlo, a powerful technology that enables users to re-program the gas and/or range in minutes without the trouble and cost of removing the mass flow controller from service. Brooks has expanded the flow rates for the GF 40/80 Series from 30 slpm to 50 slpm, making these devices an excellent choice for applications that require a higher flow rate with the flexibility of a MultiFlo-capable mass flow controller.

Newly expanded RS485 communication protocols increase the flexibility and application range of the GF 40/80 Series. These versions of the RS485 protocols are ideal for users of Aera (Hitachi), Celerity, Tylan, Mykrolis, Millipore and Unit mass flow controllers, which are now part of the Brooks product line following its acquisition of Celerity Instrumentation in 2009. These end users can now upgrade to a device that offers better accuracy and repeatability while keeping the same communication protocols.

The GF 40/80 Series also integrates the EtherCAT communication protocol, which is a high-performance, ethernet-based fieldbus system designed for process control applications requiring short data update times with low communication “jitter.” Adopted by leading-edge technology companies, EtherCAT makes it easier to network instrumentation for advanced process control and diagnostics capabilities.

The GF 40 is now equipped with a “normally open” valve for non-hazardous gas applications that require a fully open valve in the event of a process interruption. Normally open valves are desirable in applications where it is preferable for the valve to remain open even if a facility loses power, so that the mass flow controller continues to provide maximum purge gas flow from the system.

The GF 80 also features a new Teflon valve seat. The valve seat is non-reactive, which allows the GF 80 to be used in applications for corrosive and reactive gases.

mass flow controllers

Oxford Instruments Plasma Technology has just announced an evolution in batch etch technology with the launch of the PlasmaPro 1000 Astrea etch system, a large batch etch solution for PSS, GaN and AlGaInP that will offer HBLED production manufacturers high throughput. The launch is being made at the LED China exhibition in Shanghai this week, where Dr. Mark Dineen, Oxford Instruments HBLED Product Manager will present the new system to the LED China Conference delegates.

“The PlasmaPro1000 Astrea is our ultimate batch etch tool, building on over fifteen years experience as a major supplier to the HBLED industry,” says Dr. Mark Dineen, Oxford Instruments Plasma Technology’s HBLED Product Manager. “Today’s HBLED manufacturers justifiably demand high yield, high throughput, optimum device quality and low cost of ownership.  Our PlasmaPro1000 Astrea large batch etch system offers solutions for all of these.”

With wafer batch sizes from 55 x 2” to 3 x 8”, the system has been designed specifically for the harsh chemistries required for HBLED materials. The PlasmaPro1000 Astrea delivers low damage, high yield processes ensuring the maximum light output from customers’ chips.  This is a highly configurable system, with process chambers that are available as standalone modules or in cluster configurations, available on a four sided cluster tool capable of supporting up to three process modules.

Designed to ensure high system availability and ease of serviceability, key system features and benefits include:

• >690mm large area source for highly uniform plasma

• 490mm electrode giving unparalleled throughput from batch sizes of 55×2”, 14×4”, 7×6” and 3×8”

• High conductance pumping system

• Dual entry gas inlet for ease of process tuning

• Maximized clamping for wafer cooling

• Z-movement electrode for ultimate uniformity

• Reliable hardware and ease of serviceability for excellent uptime

“This advanced and innovative system has been developed to address the exacting needs of HBLED Production users, who demand not only the very latest technological innovations, but also the superb customer support offered by our company,” Dan Ayres, Managing Director of Oxford Instruments Plasma Technology said.

Nanoplas, a global supplier of plasma processing equipment to the semiconductor industry, today announced a new dry-etch process offering virtually unlimited etch selectivity for removing dielectric films on microprocessors and memories at high throughput.

Nanoplas’s new Atomic-Layer Downstream Etching (ALDE) processing allows etching rate and selectivity to be controlled independently, which provides virtually unlimited selectivity. Based on the company’s new inductively coupled plasma (ICP) source, ALDE features atomic-layer control at wafer-surface level. 

“Nanoplas’s Atomic-Layer Downstream Etching technology enables a new class of plasma-based etching and stripping processes at the 20nm technology node and beyond,” said Gilles Baujon, Nanoplas CEO. “By allowing virtually unlimited selectivity, ALDE will alleviate many of the challenges engineers face in manufacturing next-generation devices – and enable them to achieve higher yields – because the process window will be larger and will easily integrate with existing pre- and post-ALDE steps. This is a huge benefit and driver for IC manufacturing. Bringing a new generation of devices to production is all about having sufficiently large process windows to generate high yields.”

Nanoplas intends for ALDE to replace current wet and dry techniques for removal of the many critical silicon-nitride spacer films in most advanced transistor-formation technologies.

Nanoplas expects to release a first ALDE application for SiN etching in Q2.

Nanoplas is an equipment supplier to the semiconductor industry specialized in novel plasma process solutions for nanoelectronics. The company’s plasma-processing tools are used by leading microelectronics companies in North America, Europe and Asia. The company is based near Grenoble, in St-Égrève, France.

 

Hiden announced this week the integration of on-board timers for real-time pulsed plasma measurement, the fast gating fully controllable within the MASsoft operating program. Two timers provide “gate open/close” and “gate increment” periodicity with sub-microsecond gating resolution to just 100 nanoseconds, phasing data acquisition precisely with each individual plasma pulse.

Instruments enabled with these on-board timers include the ESPion Langmuir-style probe for measurement of plasma ion and electron densities and energies, as well as the Hiden PSM and EQP quadrupole mass spectrometers for characterization of both positive and negative plasma ion species. All are supported by a comprehensive range of accessories, with differential pumping options for processes operating up to 5 bar.

The ESPion probe is available in versions for operation in both RF and DC plasma, at elevated temperatures and in diverse lengths in excess of 1000mm. Compatible bellows-sealed ‘Z’-drives have up to 900mm translation. The EQP and compact PSM plasma monitors share similar software, the EQP system featuring a high-definition sector-field energy filter and energy range +/-1000eV. The integral electron bombardment ion source is used for neutrals/radicals measurement and for studies of electronegative species by electron attachment.

Signetics Corporation today introduced their new MapBGA package to the industry. This alternative to standard PBGA packaging has improved reliability and design flexibility due to its unique assembly process. A one shot side gate map molding process is used to cover the entire substrate area, protecting the active traces and vias. This process allows for more efficient use of the substrate panels and strips with as much as 55% more units per panel verses a typical PBGA package. The process is very robust as it uses proven transfer molding equipment and the mold clamps only touch the non-active area of each strip. Also, the assembled devices are saw singulated, eliminating mechanical stress on the package from traditional punch methods of singulation. Finally, the map molding process allows the package to have a larger mold cap and in turn, accommodate a larger die.

"Mature packages such as the PBGA must continue to evolve to meet the changing demands of applications", stated YS Kim, vice president of engineering at Signetics. "The MapBGA package is able to provide that extra amount of design flexibility and reliability using proven assembly technologies and equipment to make it a cost effective alternative to standard PBGA," continued Kim.

 Signetics offers a broad range of ball grid array (BGA) packaging including flip chip, stacked die, multi-chip and thermally enhanced variations. Assembly partnerships with Tier 1 device manufacturers has helped drive Signetics to continue to develop these next generation BGA packages to create a dynamic portfolio of semiconductor assembly solutions.