Category Archives: OLEDs

October 30, 2012 – This year is shaping up to be a historically lousy year for makers of flat-panel display (FPD) manufacturing equipment, but expectations are looking up that demand will catch up to supply in 2013 and balance the market, according to NPD DisplaySearch projections. Spending on FPD equipment is projected to plummet -69% in 2012 to $3.8 billion, making it the worst year in the sector’s history. But even with slow demand growth in maturing markets (TVs and PCs), the firm sees "significantly improved conditions" in 2013, more than doubling to $8.3B.

Most of that spending will be for new low-temperature polysilicon (LTPS) fabs or converting existing amorphous silicon (a-Si) capacity to LTPS, both for use in TFT-LCD and active-matrix OLED (AMOLED) production, explains Charles Annis, VP of manufacturing research at NPD DisplaySearch. "One reason spending is increasing so much is because LTPS fabs cost substantially more than a-Si fabs to build. There are extra process necessitate more than 10 mask steps." LTPS fabs also require higher-priced equipment, particularly high-resolution photolithography tools, he added, but having those technologies does enable production of high-value displays used in smartphones and tablets.



FPD equipment spending forecast. (Source: NPD DisplaySearch)

Such dramatic cutbacks in investment will more quickly rebalance supplies with demand and raise fab utilization rates. Meanwhile, new manufacturing technologies (oxide semiconductors, in-cell touch, flexible AMOLEDs and AMOLED TVs) promise lower costs and higher-value applications. Together that spells improve profitability for panel makers, notes Annis. Even with the cautionary disclaimer that new investments (e.g. AMOLED capacity) can be pushed out or cancelled if performance and cost targets don’t materialize, most of the firm’s indicators project 2013 "to be a much better year than 2012."

October 15, 2012 – Researchers at the National Institute of Advanced Industrial Science and Technology (AIST) and the Chemical Materials Evaluation and Research Base (CEREBA) say they have evaluated molecules within a sealed organic light-emitting diode (OLED) in operation using laser spectroscopy, measuring both selectively and nondestructively

Their work, published in August in Applied Physics Letters, involves a method improving upon a laser spectroscopic technique to measure molecular vibrations at the interface of an organic layer inside the OLED device — specifically, evaluating a signal enhancement phenomenon that occurs at the interface with a concentrated electric field.

The problem with evaluating OLED devices, as with many other types of sensitive electronics components: the method itself often involves destroying the device or impacting its performance (e.g. introducing contaminants). Measuring OLED device degradation, particularly in devices with multiple and overlapping internal layers, is particularly difficult — yet much more needs to be known about the inner workings of OLED layer degradation to learn how to extend the device’s lifetimes for application in displays or lighting.

Key to AIST’s work is using "sum frequency generation" (SFG) spectroscopy, which employs wavelength-tunable lasers to collect information on specific interfaces of organic substances in complex organic devices. Specifically it has pursued two-color SFG spectroscopy to measure vibrational changes at the surface and interfaces in a solid; one tunable visible laser would still collect signals from multiple organic lasers, but implementing two lasers creates a "double resonance" that can be used to enhance and isolate signals from a targeted organic layer. They also tweaked the SFG spectrometer to maintain measurement resolution even at 1/100 laser power of conventional SFG spectrometers.

"By investigating in detail the ‘fingerprints’ of organic layers in an OLED device, the alteration and degradation of molecules in the operating device as well as the change in the electric field inside the device can be elucidated," AIST explains. Their goal is to determine, at the molecular level, the driving mechanisms of OLED devices and their degradation — and also seek ways to apply the work to other organic electronics fields, such as solar cells and transistors.

CERERA was established at AIST specifically to establish design and manufacturing technologies for OLED materials and devices, including evaluation and analysis techniques.

Top: Schematic drawing of the structure of the multilayered OLED device and the directions of the incident and emitted lights used for SFG spectroscopy. Bottom: Spectral changes in an operating multilayered OLED device, with +8 V application (light emission), no voltage application, and –5 V application. (Source: AIST)

September 26, 2012 – Sumitomo Chemical has joined the Holst Center’s shared research program on printed organic lighting and signage, to extend the program’s research into multilayer solution processes for high-efficiency organic light-emitting diodes (OLEDs).

OLEDs as large-area light sources could cut lighting-related energy use by 50%-90%, while enabling unique lighting applications. They are conventionally made by depositing numerous thin layers of material onto glass substrates or flexible plastic foils, using evaporative processes in vacuum conditions. Switching to solution-based processes that can be done in an atmospheric-pressure environment would significantly reduce costs, by removing the need for vacuum equipment and reducing material waste.

Also read:

Toward this end, Sumitomo Chemical‘s participation in the Holst program will be providing high-end OLED materials, particularly for the active (light-emitting) layers. "Their expertise and high-end OLED materials will help us develop solution-processed OLEDs that match the efficiency of today’s highest-performing devices but at lower manufacturing costs," stated Ton van Mol, Partnership Director at Holst Centre.

Sumitomo Chemical, meanwhile, also will benefit from the program’s expertise in optimizing materials for low-cost production and flexible substrates. "Holst Centre is a leading research center for flexible electronics, and one of the few working on solution processing for OLEDs. Its unique infrastructure and many partners across the OLED lighting community will help us tailor our materials to better meet the needs of the lighting industry," echoed Ikuzo Ogawa, managing executive officer at Sumitomo Chemical.

The Holst Center is an independent open-innovation R&D center bringing together industry and academia to develop technologies for wireless autonomous sensor technologies and flexible electronics. It was set up in 2005 by imec (Flanders, Belgium) and TNO (The Netherlands) with support from the Dutch Ministry of Economic Affairs and the Government of Flanders. It is named after Gilles Holst, a Dutch pioneer in Research and Development and first director of Philips Research. Located on High Tech Campus Eindhoven, Holst Centre has over 180 research staff from 28 nationalities and a commitment from close to 40 industrial partners.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

And visit our Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

August 14, 2012 — Printed electronics is a set of printing methods used to create electronic circuits, sensors, devices, and various electronics products. Printing is emerging as a technology that can replace traditional photolithography processes for electronics manufacturing, reducing costly material use, very complex processes, and expensive equipment. Printing enables direct patterning of desired materials on the desired location without complex processes, and production is cleaner and more productive, according to Displaybank, an IHS analyst business.

Figure. Steps in printing electronics compared to steps in current electronics manufacturing methods.

Printing devices can lead the creation of new industries through technology fusion.

Printed electronics can be classified as substrate and printing material-related technology: various technologies that allow functional materials to be deposited at a desired position, equipments and parts that can run these technologies, and methodologies.

Printed electronics process technology includes material technologies of printed electronics inks such as conductive inks, insulating materials, and metal nano-inks, new printing process technologies such as inkjet printing, µ-contact printing, and imprinting to print materials, and various equipment technologies to support these.

Table. Printed electronics technology applications.

Area

Detail

Applicable processes

Displays and Lighting

LCD

-Color filter, alignment film, spacer: Inkjet, roll printing.

-TFT backplane: Semiconductor layer, gate, S/D electrode, insulating layer, printing.

PDP

-Wiring: Inkjet printing

-Electromagnetic waves shield: Ag conductive film filter screen printing

OLED

-organic light-emitting layer: Inkjet and nozzle jet when polymer-method OLED.

-Transparent electrode layer: Conductive polymer inkjet, slot die coating.

e-Paper

-Frontplane: Septum in wetting, inkjet and roll printing in solution injection.

-TFT backplane: Active layer and insulating layer imprint, inkjet.

Lighting

OLED

-Organic light-emitting layer: Inkjet and nozzle jet when producing polymer-method OLED.

Smart products

RFID

Antenna: Roll printing

-Others: Roll-to-roll to capacitors and chips

Packaging

Sensor: Inkjet, roll, and screen printing in sensor layer.

Energy

Solar cells

-CIGS, CdTe, DSSC absorber layer: Spray, screen.

OPV active layer: Inkjet, slot die, roll method.

-Si electrode layer: Screen printing, inkjet, AD method.

Battery

-Electrode layer: Slot die to electrode layer.

Others

Touchpanels

-Wiring: Screen and roll printing to electronic wiring.

-Transparent electrode layer: Jetting and roll printing to replace patterned ITO.

Flexible PCBs

-Wiring: Roll printing when forming high-density wiring.

 

The report, “Printed Electronics Technology Trend and Market Forecast (2011~2020)” from Displaybank talks about printed electronics material technology, issue, process technology issue, and applicable areas throughout chapter 3~5, and chapter 6 and 7 summarize trends of companies and research institutes that are developing technologies in their fields. Lastly, chapter 8 forecasts and analyzes the size of printed electronics-applicable application in the next 10 years, and speculates the size of market, which can be created as printed electronics is introduced, for the first time in the world.

This report will help printed electronics-related technologies developing companies, companies reviewing new businesses, and companies that want to innovate through printed electronics process to understand an industry-wide trend and forecast future prospects. Learn more at http://www.displaybank.com/_eng/research/report_view.html?id=847&cate=6

Visit the Semiconductors Channel of Solid State Technology!

August 9, 2012 — Active-matrix organic light-emitting diode (AMOLED) displays are growing rapidly and offer many performance benefits over liquid crystal displays (LCDs). However, 55” AMOLED TV displays cost 8-10x as much as a comparable LCD to manufacture.

Also read: AMOLED manufacturing improvements to enable TV market share grab

According to the NPD DisplaySearch AMOLED Process Roadmap Report, the manufacturing cost of a 55” oxide TFT-based AMOLED using white OLED (WOLED) with color filters is 8x that of a high-end TFT LCD display of equal size. The cost multiplier of a 55” AMOLED module using red, green, and blue (RGB) OLED is 10x. These higher costs are mainly a result of low yields and high materials costs.

LCD manufacturing is a mature process with slower, more incremental cost reduction. AMOLED cost reduction efforts are in their infancy, said Jae-Hak Choi, senior analyst, FPD Manufacturing for NPD DisplaySearch. These could include new and improved processes, printing technology, and higher-performance materials that will take AMOLED prices to parity with LCD in the long term.

Figure. Relative manufacturing costs of technologies for 55” TV panels. Based on current yield and material cost assumptions. Source: NPD DisplaySearch AMOLED Process Roadmap Report.

In order to scale up to large sizes, advancements in several aspects of AMOLED manufacturing are needed, including the active matrix backplane, organic material deposition, and encapsulation. Because oxide thin-film transistors (OTFT) require lower capital costs and are similar to existing amorphous silicon TFT (a-Si TFT), the technology offers a strong alternative to the low-temperature polysilicon (LTPS) TFT currently used for AMOLED. However, there are many hurdles for mass production of oxide TFT, particularly threshold voltage shifts, which are continuing to prove problematic for AMOLED production.

While indium gallium zinc oxide (IGZO) and other forms of oxide TFT show great promise for backplanes, progress in scaling up LTPS production is also being made by increasing the excimer laser beam width to 1300 mm. In addition, the current method of depositing red, green, and blue materials by evaporation through a fine metal mask is being continuously improved. Pixel densities of 250 ppi are now possible, and over 280 ppi is feasible.

“High resolution patterning such as laser induced thermal imaging (LITI) and material improvements are still required for AMOLED to be highly competitive for super-high-resolution flat panel displays,” Choi said.

Manufacturing processes for small, 4” AMOLED displays are more mature, creating a much smaller cost premium over LCDs (<1.3x). Most AMOLED capacity is currently dedicated to small/medium production for smart phones, but much of the future capacity increase will be driven by fabs dedicated to TV production. Uncertainties abound, as AMOLED technology has not yet been proven in large-size TVs.

Based on planned investments, NPD DisplaySearch forecasts that the AMOLED market will grow nearly tenfold from 2.3M square meters in 2012 to more than 22M in 2016.

Samsung Display has been highly successful in its small/medium AMOLED production because it has been able to raise yields to near-LCD levels. This implies that manufacturers can potentially lower large-size AMOLED TV costs to be competitive with LCD TVs in the future.

The NPD DisplaySearch AMOLED Process Roadmap Report provides in-depth data and analysis on OLED manufacturing technologies including materials, backplanes, OLED, and encapsulation. It also includes an analysis of benefits, opportunities, negatives, and challenges for each technology. Unique to the industry, the report shows specification roadmaps for OLED manufacturing through 2016 and indicates which manufacturing technologies will be required to achieve stability and performance. Also, the report provides a unique equipment investment simulation and module cost modeling analysis. NPD DisplaySearch provides market research and consulting, specializing in the display supply chain, as well as the emerging photovoltaic/solar cell industries. For more information on DisplaySearch analysts, reports and industry events, visit http://www.displaysearch.com/.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

August 9, 2012 — AIXTRON launched the PRODOS-200 PVPD system for deposition of organic thin film materials, used to make organic thin-film transistor (OTFT) displays, organic light-emitting diodes (OLEDs) and other manufacturing applications.

The system supports research on new deposition processes for polymer thin films and easy transfer to industrial processes with high deposition rates, high contour conformity of the deposited layers, and unrestricted scalability based on AIXTRON’s Close Coupled Showerhead technology.

AIXTRON expects adopters to develop new conductive and flexible layers, manipulate surface properties, and create flexible barrier layers, as well as improving today’s deposition processes and structures. The PRODOS line is designed to be modular and expandable for source materials in liquid, gaseous, or solid forms. It supports various PVPD processes, or all-dry processes, in which the carrier gas-based, gas phase deposition is used for the in-situ polymerization and layer formation of functional polymer thin films.

The tools accommodate substrates up to 200mm2. They can be integrated into cluster environments by means of relevant SEMI-compatible interfaces and are compatible with other AIXTRON systems, such as the OVPD* R&D line. The double-wall-chamber construction makes the system eases maintenance and enables fast modifications.

AIXTRON also recently announced that its BM II (2-inch) system is being used for research on depositing carbon nanotube (CNT) arrays for 3D devices, such as nano-antennas and nano-rectifiers by Daegu Gyeongbuk Institute of Science & Technology (DGIST) in South Korea.

*OVPD technology has been exclusively licensed to AIXTRON from Universal Display Corporation (UDC) for equipment manufacture. OVPD technology is based on an invention by Professor Stephen R. Forrest et al. at Princeton University, which was exclusively licensed to UDC. AIXTRON and UDC have jointly developed and qualified OVPD pre-production equipment.

AIXTRON provides MOCVD production technologies for semiconductor devices, such as LEDs, lasers, transistors and solar cells. For further information on AIXTRON (FSE: AIXA, ISIN DE000A0WMPJ6, DE000A1MMEF7; NASDAQ: AIXG, ISIN US0096061041), see www.aixtron.com.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

August 7, 2012 — Organic light-emitting diodes (OLEDs) are making inroads into displays, particularly small- to medium-sized units, and lighting. With OLED adoption, the total market value of materials used in OLED applications will grow quickly from about $500 million in 2012 to over $7 billion by the end of 2019, reports NanoMarkets.

Active OLED materials — emissive materials, hosts, and hole/electron injection and transport materials — will account for nearly $3 billion of that $7 billion pool.

Also read: OLED adoption means shifting reqs for OLED materials

NanoMarkets’ research report, “OLED Materials Markets 2012,” provides analysis and forecasts for OLED materials in the coming 8 years. It examines some of the latest market strategies, products and technical developments in OLED materials, as well as identifies how performance improvements are growing some addressable markets for OLEDs. Assessments of strategies at several top OLED materials suppliers are also included. Furthermore, the study is supplemented with granular eight-year forecasts of materials shipments in both OLED panel area and value terms, with breakouts by material type, deposition technology, and by panel type.

Material categories covered include: functional OLED materials in the emissive layer and hole/electron transport/injection/blocking layers as well as substrates, electrodes, and encapsulation technologies.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!

August 3, 2012 – BUSINESS WIRE — Panasonic Corporation (NYSE:PC, TOKYO:6752) will reform its head office and governance as of October 1, aiming to reduce internally focused work and focus on customer needs.

The strategy involves reviewing the mission of the corporate R&D division, transferring “themes” and personnel to their relevant business domains within Panasonic. Corporate R&D’s new mission will be to create new business and technologies in long-term growth areas and develop uniform technologies across the entire company.

The personnel working on existing business fields will gear their R&D toward midterm business growth. Panasonic recently focused research on organic light-emitting diodes (OLEDs) with Sony, and on flexible electronics with imec.

Panasonic is also establishing a corporate strategy head office, staff of about 150, which will oversee midterm strategies and allocate management resources, as well as develop executives and promote cash flow management. Functions other than the above will be realigned as part of a Professional Business Support Sector. Panasonic will regroup some of the related divisions into single divisions and simplify organizations. 

A Group Management Team will evaluate Panasonic’s mid- and long-term strategy and important business moves, with about 10 executives involved. Various meetings will be reorganized as appropriate under this new structure.

Learn more about Panasonic at http://panasonic.net/.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

August 1, 2012 — NanoMarkets announced a new report on the transparent conductor (TC) market which includes the dominant indium tin oxide (ITO), along with other transparent conducting oxides (TCOs), conductive polymers, silver grids and coatings, copper, carbon nanotubes and graphene and nanocomposite materials of various kinds.

Most firms offering alternatives to ITO focus on the touch-screen sensor market; however, this sector is too small for many of these firms to generate significant revenues.

Also read: Soaring indium costs drive hunt for alternative in transparent electrodes

NanoMarkets believes that the current rapid development of the organic light-emitting diode (OLED) display and lighting market could boost the makers of non-ITO TCs. While ITO is widely used in OLEDs it is not well suited to this application. The OLED sector is already beginning to seek alternative TCs.

ITO also may not work well in high-throughput roll-to-roll (R2R) processes used to manufacture flexible displays. ITO cannot be used in rollable displays, due to its material nature. Flexible displays, primed to become a sizable market, could be major contributors to the mainstream adoption of ITO alternatives for TC.

NanoMarkets expects a resurgence in thin-film solar panels for use in building-integrated photovoltaics (BIPV). This will boost firms selling tin oxide (SnO) and zinc oxide (ZnO) materials and there is considerable research activity currently seeking the best dopants for these materials for TC applications.

Silver-based TCs seem to have taken off commercially and can now be found in a number of commercial cellphone models.

Nanotube-based TCs have made little commercial progress, although a few well-funded firms — Samsung, Linde and Toray, for example — still back this approach.

Meanwhile, Agfa, Heraeus and Kodak seem to be making progress with their low-cost conductive polymer TCs. The materials have considerable potential for growth in small displays for electronic labels and smartcards.

NanoMarkets provides in-depth analysis of the applications from which TC firms will be able to make money in the next few years including touch-screens, OLEDs, e-paper, thin-film and BIPV, organic/DSC PV, smart windows, etc. The report examines implications for TCs of the rise of flexible and transparent electronics and provides an in-depth discussion of how non-ITO TCs may be able to break into the LCD market. For each application the report contains separate eight-year forecasts in terms of value ($ millions) and volume (square meters). Each forecast is also broken out by material type.

Firms discussed in the report including 3M, Agfa, Asahi Glass, Atmel, Cambrios, Cima NanoTech, Corning, Dow Chemical, Evonik, Ferro, Fujitsu, Harima Chemicals, Heraeus, Hitachi, Idemitsu Kosan, Indium Corporation, Kodak, LG, Linde, Mitsubishi, Mitsui, Nippon Mining and Metals, Nitto Denko, PolyIC, Pilkington, Saint-Gobain, Samsung, Schott, SKC, Sony, Oike, Sumitomo, Teijin, Toray, Tosoh, Ulvac, Umicore, Unidym, and many others.

NanoMarkets tracks and analyzes emerging market opportunities in energy, electronics and other markets created by developments in advanced materials. Visit http://www.nanomarkets.net for a full listing of NanoMarkets’ reports and other services.

Visit our new Displays Manufacturing Channel on Solid State Technology and subscribe to our Displays Digest e-newsletter!

July 26, 2012 — FUJIFILM Corporation sold its entire worldwide patent portfolio of more than 1,200 organic light-emitting diode (OLED) patents and patent applications to Universal Display Corporation (NASDAQ:PANL) for $105 million.

Fujifilm has researched and developed OLED materials as well as devices for more than 10 years.

Universal Display is expanding its business for OLED materials and the licensing of its patented OLED technologies through this acquisition. In related news, Universal Display expanded its OLED partnership with Plextronics to cover hole injection and transport materials.

In addition to the patent sale, the 2 companies are engaged in “a strategic relationship,” with strengthened and enhanced alliances between the companies. Fujifilm will expand its business for the supply of Fujifilm’s highly functional materials, such as barrier films, conductive films and flexible substrates, to OLED manufacturers globally, including Universal Display’s worldwide customer base. Universal Display will develop new technologies and processes for OLED manufacturing. "Fujifilm and Universal Display will continue discussions for further possible strategic relationship between the two companies," said Shigetaka Komori, Chairman and Chief Executive Officer of Fujifilm.

“This transaction will almost double Universal Display’s patent portfolio,” noted Steven V. Abramson, president and CEO of Universal Display, who called the patent buy and the collaboration with Fujifilm a rare opportunity.

FUJIFILM Corporation is one of the major operating companies of FUJIFILM Holdings. Since its founding in 1934, the company has built up a wealth of advanced, highly versatile technologies in the field of photo imaging, and Fujifilm is now applying these technologies to other new business fields.

Universal Display Corporation (Nasdaq: PANL) is a leader in developing and delivering state-of-the-art, organic light emitting device (OLED) technologies, materials and services to the display and lighting industries. To learn more about Universal Display, please visit www.universaldisplay.com.

Visit the LED Manufacturing Channel on Solid State Technology and subscribe to the LED Manufacturing News monthly e-newsletter!