Category Archives: OLEDs

January 19, 2012 — European research centers imec and Holst Centre are pulling together their collective organic and oxide transistor and flexible organic light emitting diode (OLED) lighting research and contacts for a new project focused on next-generation flexible OLED displays. The goal is an economically scalable route to high-volume manufacturing of flexible active-matrix OLED displays.

Imec, Holst Centre, and associated partners will target high resolution, low power consumption, large area, outdoor readability, flexibility and light weight in the OLED displays. Individual challeneges that the research will address include:

  • a mechanically flexible encapsulation film and TFT backplane;
  • printed, high-efficiency OLEDs
  • new materials and processes for cheaper production, better quality, lower power, more robustness and more flexibility.

Designs of drivers, pixel circuits and TFT backplane matrix will be reconsidered as increasing display area influences the amount of pixels-per-inch or the refresh rates. Finally the program scope includes the development of new manufacturing equipment such as fine patterning equipment for backplanes and tools for integrated roll-to-roll manufacturing.

Image. Flexible OLED display developed in close collaboration with Polymer Vision, one of the industrial partners in the shared programs at Holst Centre and imec.

State-of-the-art OLED displays offer stronger contrast than LCD screens because OLEDs only emit once activated. OLEDs boast fast response times, low power consumption, better viewing angle, and simpler designs with fewer components than LCD displays. "Flexible displays represent an enormous economic and technical opportunity for flat panel manufacturers and its supply chain," said Gerwin Gelinck (Holst Centre), Program Manager of the OLED Display Program.

Also read: Long-term market outlook may shine for OLED displays

Paul Heremans (imec), Program Manager of the OLED Display Program: “With this program in mind, we already have been working more and more towards integrating separate building blocks and have realized OLED displays using both organic and metal oxide TFT backplanes. Thin, plastic substrates were used, and the displays were fully encapsulated using our state-of-the-art barrier technology. Part of this was done with other research institutes in a European project called FLAME, but we could really pull this off because of intense collaboration with some of our industrial partners. We will demonstrate some of these display prototypes in 2012.”

Imec performs world-leading research in nanoelectronics. Further information on imec can be found at www.imec.be.

Holst Centre is an independent open-innovation R&D centre that develops generic technologies for Wireless Autonomous Sensor Technologies and for Flexible Electronics. Holst Centre was set up in 2005 by imec (Flanders, Belgium) and TNO (The Netherlands) with support from the Dutch Ministry of Economic Affairs and the Government of Flanders. More information: www.holstcentre.com.

Visit the new LEDs Manufacturing Channel on ElectroIQ.com!

January 16, 2012 — The Organic Electronics Association, a working group within VDMA, released its "OE-A Roadmap for Organic and Printed Electronics," 4th edition, December 2011 for download. The roadmap covers organic/printed electronics application clusters such as flexible displays and smart systems, as well as the outlook on materials, substrates, and patterning processes.

The roadmap details product generations with their key applications and technology parameters. It also identifies principle challenges.

The OE-A roadmap application clusters:

Supply chain technologies:

  • Functional materials
  • Patterning processes 
  • Substrates

The last edition of the OE-A roadmap was published in 2009. Today, organic and printed electronics are entering the mass market. "Exciting technical progress" has been made since the 2009 edition. Taking these factors into account, the 2011 edition was streamlined by grouping related application areas with commercial appeal. The Organic Electronics Association believes that the industry must develop "a common opinion about what kind of products, processes and materials will be available and when." Challenges must be approached from every aspect of the organic/printed electronics value chain.

The roadmap can help industry, government agencies and scientists plan and align R&D activities and product plans.


The 4th edition of the OE-A roadmap is available for download from the OE-A homepage.

Want to become a member of the OE-A Roadmap project groups? Contact [email protected].

Visit the new Displays Manufacturing Channel on ElectroIQ.com!
Visit the new LEDs Manufacturing Channel on ElectroIQ.com!

January 10, 2012 — Lumiotec Inc. will use phosphorescent and other organic light emitting diode (OLED) technologies from Universal Display Corporation (NASDAQ:PANL), under an OLED technology license agreement.  

Lumiotec is planning to mass produce high-efficiency OLED lighting panels, utilizing Universal Display technologies like UniversalPHOLED technology and materials in combination with Lumiotec’s multi-photon emission device technology. The device structure enables simultaneous achievement of high luminance and long lifetime. Universal Display’s phosphorescent OLED technology and materials have demonstrated a four-to-one power advantage over other OLED technologies, the company reports.

The manufacturing will take place at Lumiotec’s facility in Yonezawa City, Yamagata Prefecture. The production system uses in-line deposition equipment with linear evaporation source for large substrates to achieve high production speeds at a large scale. Lumiotec will start to deliver in February 2012, mainly 145mm2 warm white color, and 40lm/W OLED lighting sample panels. In April, Lumiotec plans to begin mass production.

Also read: Will OLED lighting ever see the light of day? and OLED trends: Materials, color patterning advances and the display race

Lumiotec also continues to develop natural white color, high-efficient OLED lighting panels, aiming at the release in the same year.

Under the license agreement, Universal Display grants Lumiotec license rights under various patents and associated know-how owned or controlled by Universal Display for Lumiotec to manufacture and sell certain OLED products for lighting applications. Lumiotec will pay Universal Display license fees and running royalties on its sales of these licensed products under the agreement. The term of the agreement runs through December 31, 2015. Universal Display separately agreed to sell to Lumiotec certain OLED materials for use by Lumiotec in manufacturing OLED products as authorized under the agreement.

Lumiotec Inc. was founded by Mitsubishi Heavy Industries, Ltd., Rohm Co., Ltd., Toppan Printing Co., Ltd., and Mitsui & Co. Ltd. in 2008 to develop, manufacture and sell OLED lighting panels. The company began shipments of its mass-produced OLED lighting panels in January 2011. To learn more about Lumiotec, please visit www.lumiotec.com.

Universal Display Corporation (Nasdaq:PANL) develops state-of-the-art, organic light emitting device (OLED) technologies, materials and services for the display and lighting industries. To learn more about Universal Display, please visit www.universaldisplay.com.

Visit the new LEDs Manufacturing Channel on ElectroIQ.com!

January 9, 2012 — Printed, flexible, and organic electronics (FPOE) enable next-generation displays, organic photovoltaics (OPV), transparent conductive films (TCFs), smart product packaging, and thin-film batteries. However, inherent technical hurdles and long development cycles are impeding returns on investment (ROI) in the technology. The key is partnerships that pool expertise in materials, equipment, and device development, shows Jonathan Melnick, in a recent Lux Research "Lux Populi" blog post (access the original blog post below).

The figure above shows display developers, applying the Lux Innovation Grid to compare how potential partners compare in technical value and business execution. The field encompasses more mature technologies, like small molecule organic light-emitting diode (OLED) and electrophoretic displays, in addition to emerging technologies, like electrochromic and electrofluidic displays.

Key points:
OLED materials and equipment have a headstart over emerging technologies like electrochromic and electrofluidic displays, thanks to the sucess of OLED displays in mobile displays and soon televisions. Notable players include materials developers like Universal Display Corporation (UDC) and Novaled, in addition to equipment makers like Kateeva.

E Ink stands out for its technical value –technology and IP — and business execution — strong partnerships and management. E Ink has a nearly 100% market share of the electrophoretic (as seen in the Amazon.com Kindle) market.

For more on high-potential technologies, like reflective and flexible display technologies, and the companies in these eco systems, read the blog post, "Lux Innovation Grid Highlights Viable Partners for Display Developers" at http://www.luxresearchinc.com/blog/2011/12/lux-innovation-grid-highlights-viable-partners-for-display-developers/

Visit the new Displays Manufacturing Channel on ElectroIQ.com!

January 6, 2012 — Organic light emitting diode (OLED) manufacturing advanced rapidly in 2011, making gains in organic materials, color patterning, electronic driving methods, and encapsulation, shows the NPD DisplaySearch OLED Technology Report. This trend will continue through the decade.

OLEDs are a solid-state technology for displays, lighting, and organic electronics.

Organic materials have efficacies from <10 to nearly 100cd/A. Large efficiency increases have been obtained with phosphorescent materials, especially in red and green.

Nearly all AMOLED displays are made using thermal evaporation through a fine metal mask (FMM) for color patterning. However, this method has low material utilization and is limited to small substrate sizes. Manufacturing processes with higher material utilization and better uniformity, such as linear and area sources, are likely to be adopted. Other color patterning methods, such as white with color filter and solution-processed materials, are also evolving and ready to be adopted for mass production in larger generation fabs.

OLED lighting gained momentum in 2011, and is forecast to reach revenues of approximately $6 billion by 2018.

OLED display revenues are estimated above $4 billion in 2011, approximately 4% of flat panel display revenues. This will top $20 billion, or approximately 16% of the total display industry, by 2018. OLED displays have a mass market in small/medium applications, such as smartphones.

OLED displays can provide high contrast ratio, fast response time, wide color gamut, and wide viewing angle, while operating in a broad temperature range at low power consumption. In addition, OLED technology enables thin, flexible displays and transparent devices.

Scaling OLED display manufacturing beyond the Gen 5.5 fabs is yet to be accomplished, and the cost factor with larger OLED displays is yet to be determined, the report shows. Samsung Mobile Displays and LG Display have plans for Gen 8 (2200 × 2500mm) OLED fabs. Investments in Gen 8 fabs indicate that AMOLED will compete in larger size applications, such as in TV and mobile PCs, within two years, Strategy Analytics predicts.

Other suppliers — AUD, CMI, IRICO, Tianma, BOE — are entering or re-entering the OLED fab sector. OLED display technology, which operates through direct emission, "has made good progress and is ready to enter large-size applications, but low-cost manufacturing for large sizes is still a challenge," said Jennifer Colegrove, PhD, VP of emerging display technologies for NPD DisplaySearch.

While nearly all AMOLEDs on the market are currently based on LTPS, several companies are developing AMOLEDs using oxide or a-Si TFT backplanes, and are likely to start production in 2012.

Table. LTPS, a-Si, and Oxide TFT for AMOLED. Source: NPD DisplaySearch OLED Technology Report.
Characteristic LTPS
a-Si Oxide TFT
Electron mobility Excellent: 10-500 cm²/VS Poor: 0.5 cm²/VS Good: 1-40 cm²/VS
Uniformity Poor Excellent Good with amorphous type;
poor with crystalline type
Stability Excellent Poor Poor
Scalable Limited to <40” Excellent, >100” Potential to 100”
Process temp High: >400°C Typical ~300°C,
some low temp process can be 150°C
Typical ~200°C,
but some anneal at 350°C
Cost High Low Medium
Availability Yes: MP Demo for AMOLED; Announced by
RiTdisplay and IGNIS; MP late 2011
Demo for AMOLED;
MP estimated in 2012
Challenges Uniformity, cost, scalability Poor mobility; poor stability Threshold voltage unstable; manufacturing process not mature

The 5NPD DisplaySearch OLED Technology Report provides a detailed discussion on the rapid growth and adoption of OLED technology: historical data on OLED technology, organic material development, electronic driving types (passive matrix, LTPS TFT, a-Si TFT, Oxide TFT, organic TFT, etc.), color patterning methods, capacity analysis and a market forecast through 2018. It includes analysis on solutions for the bottleneck in mass production for OLED color patterning. This report also discusses the current status of the OLED industry, developers in each region, and new opportunities. NPD DisplaySearch is a market research and consulting firm specializing in the display supply chain, as well as the emerging photovoltaic/solar cell industries. For more information on NPD DisplaySearch analysts, reports and industry events, visit http://www.displaysearch.com/.

Visit the new Displays Manufacturing Channel on ElectroIQ.com!

January 5, 2012 — 2011 was pivotal for organic light-emitting diode (OLED) displays, particularly active matrix OLED (AMOLED) displays. With strong growth in smartphones, AMOLED shipments are estimated to have reached 90 million units in 2011, up 97% year-over-year (Y/Y), while revenue is expected to grow to $3.36 billion, up 169% Y/Y, according to the latest NPD DisplaySearch Quarterly Small/Medium Shipment and Forecast Report.

As it competes with TFT LCDs, AMOLED penetration into the small/medium (9" and smaller) display market has been increasing. In 2010, AMOLEDs had a 6% share of small/medium active matrix flat panel displays (TFT LCD and AMOLED), and is expected to have doubled its share to 12% in 2011. The reason for this is smartphones, which saw more than doubled revenues in 2011. Trends include higher average selling prices (ASPs) and larger screen sizes with increased display performance.

Figure 1. Annual small/medium AMOLED revenue by application. Source: NPD DisplaySearch Quarterly Small/Medium Shipment and Forecast Report.

AMOLED is experiencing particularly strong adoption in larger smartphone displays. Q1-3 2011, shipments of AMOLED displays 3.5" and larger for smartphones reached 59.7 million units, up three-fold from the same period in 2010.

Samsung’s Galaxy line of smartphones has been the leading adopter of AMOLED displays with high contrast and motion picture response time, while Apple’s iPhone uses a high-resolution TFT LCD with IPS technology. The competition between the iPhone and Galaxy has brought increased attention to the AMOLED displays, currently produced only by Samsung Mobile Display.

With the successful mass-production of AMOLEDs and a new Gen 5.5 fab running, Samsung Mobile Display is producing larger AMOLED displays for applications such as Samsung’s Galaxy Note and Tab tablet PCs, as well as supplying outside customers.

"Samsung Mobile Displays has been a key driver of the growth of AMOLEDs, with the company accounting for 97.5% of all AMOLED shipments," notes Hiroshi Hayase, NPD DisplaySearch’s VP of small/medium display research. "LG Display, AUO, and CMI also are planning to ship AMOLEDs for smart phones in 2012."

AMOLEDs are also penetrating new market segments such as Sony’s PS-Vita portable game, which features a 5” AMOLED; LG Display is shipping AMOLEDs to LG Electronics for external mobile phone displays. LG Electronics announced plans to produce a 55” AMOLED TV in 2012.

The NPD DisplaySearch Quarterly Small/Medium Shipment and Forecast Report covers the entire range of small/medium displays shipped worldwide and regionally. Backed by over 40 suppliers of data and our own team of industry analysts, this report analyzes historical shipments and projects forecasts that provide decision makers with the insights they need to support procurement and product plans.

The Quarterly Small/Medium Shipment and Forecast Report now offers advanced features that allow users to track data by viewing angle and 3D capabilities. NPD DisplaySearch is a global market research and consulting firm specializing in the display supply chain, as well as the emerging photovoltaic/solar cell industries. For more information on NPD DisplaySearch analysts, reports and industry events, visit http://www.displaysearch.com/.

Visit the new Displays Manufacturing Channel on ElectroIQ.com!

January 5, 2012 — US FPD Smart Displays — From Emerging to Mainstream, taking place February 28-29 in San Diego, CA, will feature forecasts and analysis from DisplaySearch and In-Stat analysts, as well as presentations from smart display industry executives.

Sessions preview:

Advancing Smart Display Technologies covers the transition to higher pixel densities at larger panel sizes, the future of OLEDs in larger displays, the impact of oxide TFT development, and the issues of power consumption and sunlight readability on mobile devices.

Interactivity — New User Interfaces for Smart Displays looks beyond keyboards and remote controls to the next generation of smart display interaction: touch, and its alternatives like intelligent assistance from gesture and voice. It will address what level of automation is required, but acceptable for users.

Connectivity — Controlling and Acquiring Devices and Content  considers the connectivity requirement: simple, fast and reliable access to the Internet and/or other devices. Issues include standards and technologies for Internet connectivity, such as compressed wireless and uncompressed wireless for various display sizes and streaming bandwidths.

Content and Apps for Smart Displays focuses on how content drives device functionality. How does the form factor for Smart Displays influence content acquisition and manipulation? Ultimately, does the content drive the device selection process or vice versa?

Smart Phones and Tablets: Extending the TV and Competing with the TV looks at the hot display category of smartphones/tablets and how they affect traditional home media consumption like TV. Interactivity is currently evolving, and the next step is uncertain. The session will examine networked home environments, and the overlap of TV and smartphone/tablet consumers.

TV of the Future considers why TVs are one of the last devices to become “Smart.” Topics include consumer expectations, price points, content, players in traditional and non-traditional realms, and the enabling technologies for smart TVs like voice and gesture technology.

What Features will Future Smart Displays Require? is dedicated to the sensors, audio components, microprocessors, and other hardware that will enable smart display functionalities. The highly diverse universe of Internet and mobile content require advanced rendering and scaling technologies, with greater pixel density.

Register for US FPD Smart Displays — From Emerging to Mainstream at http://www.cvent.com/events/2012-displaysearch-us-fpd/event-summary-333ee09ebd024cb1926df8864efb5e6e.aspx

December 19, 2011 — Strategies in Light Europe 2012, September 18-20 in Munich, Germany, is accepting abstracts through February 17. The Strategies in Light Europe conference and exhibition covers the rapidly growing LED lighting industry.
 
Papers should describe or demonstrate efforts to improve quality and performance of LED lighting, activities that help accelerate the market adoption of LED lighting, and results from real-world LED lighting applications and installations.

Papers will be selected by the SIL Europe Advisory Board on the basis of technical content, audience interest and industry relevance. Papers with an overt marketing/sales/commercial subject will be rejected. Please do not submit presentations that are scheduled to be presented at other LED-related conferences.

Suggested subject areas include:

Applications

  • Market growth and outlook, penetration of LEDs into key applications, barriers to further market penetration
  • Case studies of specific installations: cost and performance analysis, user feedback, lessons learned 
  • Requirements and perspectives from lighting designers, specifiers, architects and other end-users

Supply chain and LED manufacturing

  • Supply and demand, capacity constraints, availability of materials and equipment
  • Advanced chip design and lower-cost manufacturing; epitaxial growth and processing
  • Luminaire design: role of thermal management, advanced packaging & optical concepts 
  • Advances in LED cost, performance, lifetime and reliability

Market transformation

  • Regional- and country-specific activities to promote LED lighting
  • European activities to develop high-quality LED lighting products
  • Quality control and labelling programs
  • Customer awareness and acceptance, incentives and subsidies
  • Funding from governments and investment community
  • Standards development and implementation 
  • EU Directives: development and impact on LED market

Technology

  • Color quality metrics, optical safety, test & measurement
  • Development of OLEDs and other competing lighting technologies
  • Drivers, and dimming & control networks 
  • Replaceable modules and light engines

In submitting an abstract you are indicating your availability and willingness to attend and present a paper at Strategies in Light Europe 2011, if selected, and to comply with all deadlines. Please ensure that the speaker and author details you are providing when submitting your abstract are correct as these will be used for pre-event marketing purposes.

For information/assistance on submitting an abstract for the Call for Papers, please contact: Emily Pryor, Conference manager, Tel: +44 (0) 1992 656 614. Submit an abstract here: http://www.sileurope.com/conference.html
 
Visit our new LED Manufacturing channel on ElectroIQ.com!

Visit our partner publication, LEDs Magazine

BUSINESS WIRE — Organic light-emitting diode (OLED) company Novaled AG founders Professor Karl Leo, Dr. Jan Blochwitz-Nimoth, and Dr. Martin Pheiffer received the Deutscher Zukunftspreis from German Federal President Christian Wulff.

The Deutscher Zukunftspreis, the Federal President’s Award for Innovation and Technology, is an annual prize honoring teams of creative people that realize breakthroughs in science and commercialize the results. The prize is worth EUR250,000. See this year’s nominees here.

Professor Leo is associated with Technical University and Fraunhofer IPMS Dresden. Dr. Jan Blochwitz-Nimoth is chief scientific officer at Novaled AG. Dr. Martin Pfeiffer serves at Heliatek GmbH (organic solar photovoltaics [OPV] cells manufacturer).

"This team’s…research topic…can help us achieve a transition in energy," stated Mr. Wulff. Dr. Blochwitz-Nimoth called the award a "strong endorsement" of Novaled and its partners, adding that organic electronics can "appreciably improve our lives."

The winning team made remarkable developments in the field of Organic Electronics. At the Technical University of Dresden, the three scientists have developed unique OLED technology designed to drastically reduce energy consumption and increase the lifespan of such products. This will enable novel visionary applications, which also save on resources, to become a reality in various areas of our lives.

Leo, Blochwitz-Nimoth and Pfeiffer have established and developed a cutting-edge organic electronics cluster in Germany (Saxony). The spin-off Novaled successfully entered the market with OLED technology and materials, which are now being applied in a new generation of colorful flat panel displays (FPDs) for smartphones and other apps, energy-saving lighting, and efficient and flexible OPV. The company is known for its Novaled PIN OLED technology, its proprietary OLED materials and the customized OLED products and services. Novaled has developed long term partnerships with major OLED producers throughout the world. With over 500 granted and filed patents, Novaled enjoys a very strong intellectual property position.

Operating on the market since March 2003, the company was founded by TU Dresden (IAPP) and FhG (IPMS) Dresden. Novaled

December 12, 2011 — SEMI is looking for presenters for technical sessions and other opportunities at SEMICON West 2012, July 10-12 in San Francisco, CA.

SEMICON West 2012 will feature more than 40 hours of technical sessions and presentations across three show floor technology stages — the TechXPOTs — focused on critical industry topics shaping design and manufacturing of semiconductors, high-brightness (HB) LEDs, MEMS, printed and flexible electronics, and other related technologies.

SEMI is soliciting technical presentations in topic areas including:

Wafer Processing:

  • Emerging Architectures for Logic and Memory
  • Advanced Materials and Productivity Solutions
  • Advanced Lithography

Test:

  • Probe Card/Handlers
  • Semiconductor Test Strategies
  • ATE
  • Adaptive Test

Packaging:

  • Contemporary Packaging Technology and Productivity Solutions
  • New Packaging Solutions
  • Packaging Materials
  • Trends and Opportunities in 3D-IC
  • Testability and Thermal Management of 3D-IC
  • Interposer Solutions for Packaging

"Extreme" Electronics:

  • Opportunities in MEMS
  • High-brightness LED Manufacturing
  • OLED Manufacturing
  • Printed and Flexible Electronics

Submit an abstract (maximum 500 words) focused on the latest developments and innovations in these technology areas, inclusive of supporting data. The deadline for abstract submission is March 15, 2012. Submissions may be made online from the SEMICON West 2012 website at:  www.semiconwest.org/Participate/SPCFP.

On-line submission for abstracts is now available at: www.semiconwest.org/node/8311. Contact Agnes Cobar at [email protected] with questions.

SEMICON West is an event for the display of new products and technologies for microelectronics design and manufacturing, featuring technologies from across the microelectronics supply chain, from electronic design automation, to device fabrication (wafer processing), to final manufacturing (assembly, packaging, and test), as well as emerging technologies. For more information on SEMICON West 2012, please visit: www.semiconwest.org  

SEMI is the global industry association serving the nano- and micro-electronics manufacturing supply chains. For more information, visit www.semi.org.