Category Archives: Packaging and Testing

A recent study, affiliated with UNIST has created a three-dimensional, tactile sensor that could detect wide pressure ranges from human body weight to a finger touch. This new sensor with transparent features is capable of generating an electrical signal based on the sensed touch actions, also, consumes far less electricity than conventional pressure sensors.

The breakthrough comes from a research, conducted by Professor Jang-Ung Park of Materials Science and Engineering and his research team at UNIST. In the study, the research team presented a novel method of fabricating a transistor-type active-matrix pressure sensor using foldable substrates and air-dielectric layers.

This image shows the transistor-type active-matrix 3-D pressure sensors with air-dielectric layers. Credit: UNIST

This image shows the transistor-type active-matrix 3-D pressure sensors with air-dielectric layers. Credit: UNIST

Today, most transistors are created with silicon channel and silicon oxide-based dielectrics. However, these transistors have been found to be either lacking transparency or inflexible, which may hinder their utility in fabricating highly-integrated pressure sensor arrays and transparent pressure sensors.

In this regard, Professor Park’s team decided to use highly-conductive and transparent graphene transistors with air-dielectric layers. The sensor can detect different types of touch-including swiping and tapping..

“Using air as the dielectric layer in graphene field-effect transistors (FETs) can significantly improve transistor performance due to the clean interface between graphene channel and air,” says Professor Park. “The thickness of the air-dielectric layers is determined by the applied pressure. With that technology, it would be possible to detect pressure changes far more effectively.”

A convantional touch panel, which may be included in a display device, reacts to the static electrical when pressure is applied to the monitor screen. With this method, the position on screen contacted by a finger, stylus, or other object can be easily detected using changes in pressure, but can not provide the intensity of pressure.

The research team placed graphene channel, metal nanowire electrodes, as well as an elastic body capable of trapping air on one side of the foldable substrate. Then they covered the other side of the substrate, like a lid and kept the air. In this transistor, the force pressing the elastic body is transferred to the air-dielectric layer and alters its thickness. Such changes in the thickness of the air-dielectric layer is converted into an electrical signal and transmitted via metal nanowires and the graphene channel, expressing both the position and the intensity of the pressure.

This is regarded as a promising technology as it enables the successful implementation of active-matrix pressure sensors. Moreover, when compared with the passive-matrix type, it consumes less power and has a faster response time.

It is possible to send and receive signals only by flowing electricity to the place where pressure is generated. The change in the thickness of the air dielectric layer is converted into an electrical signal to represent the position and intensity of the pressure. In addition, since all the substrates, channels, and electrode materials used in this process are all transparent, they can also be manufactured with invisible pressure sensors.

“This sensor is capable of simultaneously measuring anything from lower pressure (less than 10 kPa), such as gentle tapping to high pressure (above 2 MPa), such as human body weight,” says Sangyoon Ji (Combined M.S./Ph.D. student of Materials Science and Engineering), the first co-author of the study. “It can be also applied to 3D touchscreen panels or smart running shoes that can analyze life patterns of people by measuring their weight distribution.”

“This study not only solves the limitations of conventional pressure sensors, but also suggests the possibility to apply them to various fields by combining pressure sensor with other electronic devices such as display.” says Professor Park.

Over 60,000 attendees are expected at SEMICON China opening tomorrow at Shanghai New International Expo Centre (SNIEC). SEMICON China (March 14-16) offers the latest in technology and innovation for the electronics manufacturing industry. FPD China is co-located with SEMICON China, providing opportunities in this related market. Featuring nearly 900 exhibitors occupying nearly 3,000 booths, SEMICON China is the largest gathering of its kind in the world.

Worldwide fab equipment spending is expected to reach an industry all-time record, to more than US$46 billion in 2017, according to the latest version of the SEMI (www.semi.org) World Fab Forecast. In 2018, the record may break again, with spending close to the $50 billion mark.  SEMI forecasts that China will be third ($6.7 billion) for regional fab equipment spending in 2017, but its spending in 2018 may reach $10 billion – which would be a 55 percent increase year-over-year, placing China in second place for worldwide fab equipment spending in 2018.

On March 14, keynotes at SEMICON China include SMIC chairman of the Board Zhou Zixue. ASE Group director and COO Tien Wu, ASML president and CEO Peter Wennink, Intel VP Jun He, Lam Research CEO Martin Anstice, TEL CTO Sekiguchi Akihisa and imec president and CEO Luc Van den hove.

SEMICON China programs expand attendees’ knowledge, networking reach, and business opportunities. Programs this year feature a broad and deep range:

  • CSTIC: On March 12-13, the China Semiconductor Technology International Conference (CSTIC) precedes SEMICON China. CSTIC is organized by SEMI and imec and covers all aspects of semiconductor technology and manufacturing.
  • Technical and Business Programs: 
    • March 14: China Memory Strategic Forum.
    • March 15: Building China’s IC Ecosystem, Green High-Tech Facility Forum, and Smart Manufacturing Forum, in addition Power & Compound Semiconductor Forum (Day 1).
    • March 16: Smart Automotive Forum, MEMS & Sensors Conference Asia, plus Power & Compound Semiconductor Forum (Day 2)
  • Tech Investment Forum: On March 15, an international platform to explore investment, M&A, and China opportunities.
  • Theme Pavilions:  SEMICON China also features six exhibition floor theme pavilions: IC Manufacturing, LED and Sapphire, ICMTIA/Materials, MEMS, Touch Screen and OLED.
  • Networking Events: SEMI Industry Gala, China IC Night, and SEMI Golf Tournament

For additional information on sessions and events at SEMICON China 2017, please visit www.semiconchina.org/en/4.

ULVAC Technologies, Inc. (www.ulvac.com), a supplier of production systems, instrumentation and vacuum pumps for technology industries, has been selected by a global MEMS inertial sensor manufacturer to deliver an ULVAC ENVIRO-1Xa advanced plasma ashing system for running critical low-temp descum processes and high-temp bulk photoresist strip processes. These steps are crucial for the manufacturing of high-performance accelerometers and gyroscopes used in consumer, automotive, health and fitness, and industrial applications.

The ENVIRO-1Xa is the latest photoresist removal equipment from ULVAC, and offers superior performance at an exceptional price. The system is equipped with a versatile platform that can handle multiple wafer sizes, ranging from 4-inch to 8-inch in diameter. The system is capable of high-speed photoresist removal at more than 10µm/min, but has the process flexibility required for other important operations, such as; high-dose implanted resist removal, descum and surface modification, SU-8 and fluorinated resist removal, and MEMS sacrificial-layer removal.

Wayne Anderson President/CEO of ULVAC Technologies, Inc. states that “The sale of this ENVIRO 1Xa, for descum applications, serves to increase our market penetration in the global MEMS manufacturing marketplace, where we have been very successful with our MEMS product portfolio; which includes plasma etching equipment, sputter deposition equipment and the ENVIRO family of plasma ashing equipment.”

Today, the research and innovation hub in nanoelectronics and digital technology imec, and the Flemish Government announced a new, 5-year strategic commitment that aims at strengthening the pioneering role of Flanders’ renowned research hub. Imec’s annual grant has been raised to 108 million euro with extra money being invested in imec’s longer-term strategic research to consolidate its global position in advanced semiconductor R&D and digital technology and their application domains in smart health, smart cities, smart mobility, sustainable energy and Industry 4.0.

Following the merger of imec and the Flemish research institute in software and ICT, iMinds in 2016, Flanders has a world-class innovation hub in the domains of nanoelectronics and digital technology, collaborating with industry leaders and universities and research centers worldwide. Imec attracts talented scientists from around the world and has distributed research teams at the five Flemish universities. The center’s innovation capacity culminates in over 120 European patent applications on a yearly basis.

“In the new strategic agreement with imec, we fully recognize the relevance of its international leadership. Yet, the annual grant of 108 million euro also includes additional resources for supporting local innovation,” added Philippe Muyters, Flemish Minister for Economy and Innovation. “Flemish companies can turn to imec for integrated solutions that capitalize on the latest hardware and software developments. This is a crucial element in their quest for technology that can immediately be incorporated in their products – earning them a quick competitive advantage.”

Luc Van den hove concluded: “We truly appreciate the Flemish Government providing us with the resources to step up our long-term strategic research and to further support companies in Flanders and worldwide with the development of technological solutions applicable to their products and services, offering our hardware and software solutions throughout the entire lifecycle of the innovations process.”

GlobalFoundries_Ajit_ManochSEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today announced the appointment of Ajit Manocha as its president and CEO. He will succeed Denny McGuirk, who announced his intention to retire last October. The SEMI International Board of Directors conducted a comprehensive search process, selecting Manocha, an industry leader with over 35 years of global experience in the semiconductor industry.  Manocha will begin his new role on March 1 at SEMI’s new Milpitas headquarter offices.

“Ajit has a deep understanding of our industry’s dynamics and the interdependence of the electronics manufacturing supply chain,” said Y.H. Lee, chairman of SEMI’s board of directors. “From his early days developing dry etch processes at AT&T Bell Labs, to running global manufacturing for Philips/NXP, Spansion, and, as CEO of GLOBALFOUNDRIES, Ajit has been formative to our industry’s growth. Ajit is the ideal choice to drive our SEMI 2020 plan and beyond, ensuring that SEMI provides industry stewardship and engages its members to advance the interests of the global electronics manufacturing supply chain.”

“Beyond his experience leading some of our industry’s top fabs, Ajit has long been active at SEMI and has served on boards of several global associations and consortia,” said Denny McGuirk, retiring president and CEO of SEMI. “Ajit’s experience in technology, manufacturing, and industry stewardship is a powerful combination. I’m very excited to be passing the baton to Ajit as he will continue to advance the growth and prosperity of SEMI’s members.”

“I have tremendous respect for the work SEMI does on behalf of the industry,” said Ajit Manocha, incoming president and CEO of SEMI. “I am excited to be joining SEMI at a time when our ecosystem is rapidly expanding due to extensive innovation on several fronts.  From applications based on the Internet and the growth of mobile devices to artificial intelligence/machine learning, autonomous vehicles, and the Internet of Things, there is a much broader scope for SEMI to foster heterogeneous collaboration and fuel growth today than ever before.  I am looking forward to leading the global SEMI organization as we strive to maximize value for our members across this extended global ecosystem.”

Manocha was formerly CEO at GLOBALFOUNDRIES, during which he also served as vice chairman and chairman of the Semiconductor Industry Association (SIA).  Earlier, Manocha served as EVP of worldwide operations at Spansion. Prior to Spansion, he was EVP and chief manufacturing officer at Philips/NXP Semiconductors. Manocha also held senior management positions within AT&T Microelectronics. He began his career at AT&T Bell Laboratories as a research scientist where he was granted several patents related to microelectronics manufacturing. Manocha holds a bachelor’s degree from the University of Delhi and a master’s degree in physical chemistry from Kansas State University.

Invensas Corporation, a wholly owned subsidiary of Tessera Holding Corporation (Nasdaq: TSRA), today announced that Teledyne DALSA, a Teledyne Technologies company, has signed a technology transfer and license agreement for Direct Bond Interconnect (DBI) technology. This agreement enables Teledyne DALSA to leverage Invensas’ revolutionary semiconductor wafer bonding and 3D interconnect technologies to deliver next-generation MEMS and image sensor solutions to customers in the automotive, IoT and consumer electronics markets. Teledyne DALSA is an international leader in high performance digital imaging and semiconductors and also one of the world’s foremost pure-play MEMS foundries.

“DBI technology is a key enabler for true 3D-integrated MEMS and image sensor solutions,” said Edwin Roks, president of Teledyne DALSA. “We are excited about the prospect of developing new products and providing new foundry services to our customers that utilize this technology. By working closely with Invensas, we will be able to move more quickly to deploy this capability efficiently and effectively.”

DBI technology is a low temperature hybrid wafer bonding solution that allows wafers to be bonded instantaneously with exceptionally fine pitch 3D electrical interconnect without requiring bond pressure. The technology is applicable to a wide range of semiconductor devices including MEMS, image sensors, RF Front Ends and stacked memory.

“We are pleased that Teledyne DALSA, a recognized leader in digital imaging products and MEMS solutions, has chosen our DBI technology to accelerate the development and commercialization of their next generation MEMS and image sensor products,” said Craig Mitchell, president of Invensas. “As device makers look for increasingly powerful semiconductor solutions in smaller packages, the need for cost-efficient, versatile 3D technologies is greater than ever before. We are confident that the superior performance and manufacturability of DBI technology will help Teledyne DALSA deliver tremendous value to their customers.”

Intel Corporation yesterday announced plans to invest more than $7 billion to complete Fab 42, a project Intel had previously started and then left vacant. The high-volume factory is in Chandler, Ariz., and is targeted to use the 7 nanometer (nm) manufacturing process. The announcement was made by U.S. President Donald Trump and Intel CEO Brian Krzanich at the White House.

Intel Corporation on Tuesday, Feb. 8, 2017, announced plans to invest more than $7 billion to complete Fab 42. On completion, Fab 42 in Chandler, Ariz., is expected to be the most advanced semiconductor factory in the world. (Credit: Intel Corporation)

Intel Corporation on Tuesday, Feb. 8, 2017, announced plans to invest more than $7 billion to complete Fab 42. On completion, Fab 42 in Chandler, Ariz., is expected to be the most advanced semiconductor factory in the world. (Credit: Intel Corporation)

According to Intel’s official press release, the completion of Fab 42 in 3 to 4 years will directly create approximately 3,000 high-tech, high-wage Intel jobs for process engineers, equipment technicians, and facilities-support engineers and technicians who will work at the site. Combined with the indirect impact on businesses that will help support the factory’s operations, Fab 42 is expected to create more than 10,000 total long-term jobs in Arizona.

Mr. Trump said of the announcement: “The people of Arizona will be very happy. It’s a lot of jobs.”

There will be no incentives from the federal government for the Intel project, the White House said.

Context for the investment was outlined in an e-mail from Intel’s CEO to employees.

“Intel’s business continues to grow and investment in manufacturing capacity and R&D ensures that the pace of Moore’s law continues to march on, fueling technology innovations the world loves and depends on,” said Krzanich. “This factory will help the U.S. maintain its position as the global leader in the semiconductor industry.”

“Intel is a global manufacturing and technology company, yet we think of ourselves as a leading American innovation enterprise,” Krzanich added. “America has a unique combination of talent, a vibrant business environment and access to global markets, which has enabled U.S. companies like Intel to foster economic growth and innovation. Our factories support jobs — high-wage, high-tech manufacturing jobs that are the economic engines of the states where they are located.”

Intel is America’s largest high-technology capital expenditure investor ($5.1 billion in the U.S. 2015) and its third largest investor in global R&D ($12.1 billion in 20151). The majority of Intel’s manufacturing and R&D is in the United States. As a result, Intel employs more than 50,000 people in the United States, while directly supporting almost half a million other U.S. jobs across a range of industries, including semiconductor tooling, software, logistics, channels, OEMs and other manufacturers that incorporate our products into theirs.

The 7nm semiconductor manufacturing process targeted for Fab 42 will be the most advanced semiconductor process technology used in the world and represents the future of Moore’s Law. In 1968, Intel co-founder Gordon Moore predicted that computing power will become significantly more capable and yet cost less year after year.

The chips made on the 7nm process will power the most sophisticated computers, data centers, sensors and other high-tech devices, and enable things like artificial intelligence, more advanced cars and transportation services, breakthroughs in medical research and treatment, and more. These are areas that depend upon having the highest amount of computing power, access to the fastest networks, the most data storage, the smallest chip sizes, and other benefits that come from advancing Moore’s Law.

After the announcement, President Trump tweeted his thanks to Krzanich, calling the factory a great investment in jobs and innovation. In his email to employees, Krzanich said that he had chosen to announce the expansion at the White House to “level the global playing field and make U.S. manufacturing competitive worldwide through new regulatory standards and investment policies.”

“When we disagree, we don’t walk away,” he wrote. “We believe that we must be part of the conversation to voice our views on key issues such as immigration, H1B visas and other policies that are essential to innovation.”

During Mr. Trump’s presidential campaign, Krzanich had reportedly planned a Trump fundraiser event and then cancelled following numerous controversial statements from Trump regarding his proposed immigration policies. Intel has continued to be critical of the Trump administration’s immigration policies, joining over 100 other companies to file a legal brief challenging President Trump’s January 27 executive order which blocked entry of all refugees and immigrants from seven predominantly Muslim countries. Recently, Krzanich took to Twitter to criticize the order, voicing the company’s support of lawful immigration.

In 2012, Paul Otellini, then Intel’s CEO, made a similar promise about Fab 42 in the company of Obama, during a visit to Hillsboro, Oregon.

Qualcomm Incorporated (NASDAQ:QCOM) and TDK Corporation (TOKYO:6762) today announced the completion of the previously announced joint venture under the name RF360 Holdings Singapore PTE. Ltd. (RF360 Holdings). The joint venture will enable Qualcomm’s RFFE Business Unit to deliver RF front-end (RFFE) modules and RF filters into fully integrated systems for mobile devices and fast-growing business segments, such as Internet of Things (IoT), automotive applications, connected computing, and more. The business being transferred constitutes a part of the TDK SAW Business Group activities.

“The ongoing expansion of mobile communication across multiple industries, and the unprecedented deployment of multi-carrier 4G technologies now reaching over sixty-five 3GPP frequency bands are driving manufacturers of wireless solutions to higher levels of miniaturization, integration and performance, especially for the RFFE in these devices,” said Cristiano Amon, executive vice president, Qualcomm Technologies, Inc., and president, QCT. “Further, 5G will increase the level of complexity even more. To that end, the ability to provide the ecosystem a truly complete solution is essential to enabling our customers to deliver mobile solutions at scale and on time.”

Together with RF360 Holdings, Qualcomm Technologies, Inc. (QTI) will be ideally positioned to design and supply products with end-to-end performance and global scale from the modem/transceiver all the way to the antenna in a fully integrated system.

RF360 Holdings will have a comprehensive set of filters and filter technologies, including surface acoustic wave (SAW), temperature-compensated surface acoustic wave (TC-SAW) and bulk acoustic wave (BAW), to support the wide range of frequency bands being deployed in networks across the globe. Moreover, RF360 Holdings will enable the delivery of RFFE modules from QTI that will include front-end components designed and developed by QTI. These components include CMOS, SOI and GaAs Power Amplifiers, a broad portfolio of Switches, Antenna Tuning, Low Noise Amplifiers (LNAs) and the industry’s leading Envelope Tracking solution.

Deepening collaboration between Qualcomm and TDK

In addition to operating the joint venture, Qualcomm and TDK will deepen their technological cooperation to cover a wide range of cutting-edge technologies for next-generation mobile communications, IoT and automotive applications.

“The deeper collaboration with Qualcomm fits perfectly into our growth strategy,” said Shigenao Ishiguro, President and CEO of TDK Corporation. “It is a further step that aims to open up new promising business opportunities for TDK, while strengthening the company’s innovativeness and thus competitiveness in such attractive future markets as sensors, MEMS, wireless charging and batteries. Our customers will clearly benefit from the resulting unique and comprehensive technologies and products portfolio.”

By Denny McGuirk, SEMI president and CEO

“Do not go where the path may lead, go instead where there is no path and leave a trail.”  Attributed to Ralph Waldo Emerson, this could be the credo of our industry.  Moore’s Law has created $13 trillion of market value and we’ve been pioneering the way forward – since even before Gordon Moore made the famous “observation” that became Moore’s Law more than 50 years ago.  Our industry paved the road forward with advancements in design, materials, processing, equipment, and integration, traveling at the speed of exponential growth number in transistors per chip (doubling approximately every two years).

Today, globally, we’re shipping more than one trillion ICs per year!  Leading-edge chips boast more than 10 billion transistors at the advanced 10nm (gate length) technology node and are made with 3D FinFET architectures formed by 193nm wavelength immersion multi-patterning lithography.  It’s become a very challenging – and very expensive – road (a single lithography tool alone costs in the tens of millions of dollars).  The companies building the road ahead are bigger and fewer as massive bets now need to be placed on new fabs costing more than $5 billion and even $10 billion and where a new single chip design alone costs more than $150 million to bring into production.

What follows, in Part 1 of this two-part article, is a quick look back at the industry in 2016 and the road ahead in 2017 followed by what SEMI achieved in 2016 and where SEMI’s road will lead in 2017 to keep pace our industry charging forward where there is no path. Part 2 (next week’s Global Update) will focus on SEMI 2020 initiatives.

A look back at 2016: “Straight roads do not make skillful drivers”

2016 was definitely not a straight road; truly it was a wild ride – so, SEMI members have become extremely skilled drivers. The semiconductor manufacturing industry had a slow first half with pessimism building throughout the first quarter, but by April semiconductors bottomed and NAND investment and a slate of new China projects drove a strong second half.  For semiconductor equipment, SEMI’s statistics indicate global sales in 2015 were $36.5 billion and 2016 came in at $39.7 billion, ultimately ending up about 9 percent.  For reference semiconductor materials in 2015 was $24.0 billion and 2016 came in at $24.6 billion, up nearly 2.6 percent year-over year (YoY).

But, it turns out, that’s not half the story.  2016 was full of surprises.  At the geopolitical level, Brexit, an impeachment in South Korea, and a Trump win were wholly unanticipated and leave a lot of questions as to how that road ahead might look.  In technology, the Galaxy Note 7 mobile phone became an airline hazard announcement and stalwarts like Yahoo! faded into the background (now part of Verizon).  In part due to challenges of the road ahead (and because the cost of capital remained low) M&A fever continued in semiconductors with more than $100B in deals announced in 2016.

It was an astonishing year for combinations with huge deal announcements such as Qualcomm buying NXP for $47 billion and SoftBank buying ARM for $32 billion.  Meanwhile, mergers in the equipment and materials space continued, to name a few notables ASML’s acquisition of Hermes Microvision, DuPont and Dow announcing the intent to merge (announced December 2015, but still in the works), and Lam Research and KLA-Tencor ultimately calling off their deal due to complications of regulatory pushback.  The extended supply chain was mixing things up, too, with acquisitions like the announcement by Siemens to acquire Mentor Graphics.  It has been very active, overall.  This was the second year of semiconductor M&A deals valued at more than $100 billion, a signal that size and scale is critical to build the road ahead.

A look ahead: “Difficult roads often lead to beautiful destinations”

With all the talk about roads, it’s no surprise that the automotive segment is gathering momentum as a strong growth driver for the electronics supply chain.  Not only is there increasing electronics content in cars for comfort and infotainment, but also for assisted and autonomous driving and electric vehicles which are ushering in a new era of electronics consumption.

Along with automotive, IoT (Internet of Things), 5G, AR/VR (Augmented Reality and Virtual Reality), and AI (Artificial Intelligence) round out a set of powerful IC and electronics applications drivers (see figure).  Per an IHS Study, 5G alone may enable as much as $12.3 trillion in goods and services in 2035. Gartner’s most recent forecast is cause for optimism further down the electronics manufacturing supply chain.  Gartner see IC revenue growing from 2016’s $339.7 billion to 2017’s $364.1 billion up 7.2 percent and growing further in 2018 at $377.9 billion up 3.8 percent.  For semiconductor equipment, SEMI’s forecast indicates 2015 was $36.5 billion, 2016 will come in at $39.7 billion, and 2017 is projected to be $43.4 billion, pointing to both 2016 and 2017 experiencing approximately 9 percent YoY growth.

In 2017, China investment is projected to continue as a major driver, likely consuming over 16 percent of the total global equipment investment (second only to South Korea).  SEMI is currently tracking 20 new fab projects.  Investments come from both multinationals and local Chinese ventures.  A sign of the rise of China is China’s upward production share trend of its own IC consumption market (IC Insights): 8 percent in 2009, 13 percent in 2015, and 21 percent in 2020. Further down in the electronics supply chain, fab equipment related spending in China will rise to more than $10 billion per year by 2018 and remain at that level or above for subsequent years.

NAND will continue to be a major driver with 3D NAND investment leading the way.  Silicon in Package (SiP) and heterogeneous integration will increasingly be solutions to augment traditional feature scaling to fit more transistors into less space at lower costs.  Materials innovations will be relied upon to solve front-end and packaging challenges while standard materials will be the focus of increased efficiencies and cost reduction. 200mm fab capacity will grow and stimulate new 200mm investment with upside driven by power devices and MEMS segments.  Investment in foundry MEMS will grow by an estimated 285 percent (2015 to 2017).

“There are far better things ahead than any we leave behind”

SEMI, the global non-profit association connecting and representing the worldwide electronics manufacturing supply chain, has been growing with the industry for 47 years.  SEMI has evolved over the years, but it has remained as the central point to connect.  Whether connecting for business, connecting for collective action, or connecting to synchronize technology, SEMI connects for member growth and prosperity.

As a reminder, here are SEMI’s mission, vision, and 2020 strategic focus areas.

  • Mission — our focus for the next five years
    • SEMI provides industry stewardship and engages our members to advance the interests of the global electronics manufacturing supply chain.
  • Vision — what we stand for
    • SEMI promotes the development of the global electronics manufacturing supply chain and positively influences the growth and prosperity of its members.  SEMI advances the mutual business interests of its membership and promotes a free and open global marketplace.
  • Members’ Growth — 2020 strategic focus
    • SEMI enables member growth opportunities by evolving SEMI communities and building new communities across the global electronics manufacturing supply chain via cooperation, partnerships, and integration.
  • Members’ Prosperity — 2020 strategic focus
    • SEMI enables members to prosper by building extended supply chain collaboration forums providing opportunities to increase value while optimizing the supply chain for SEMI members.

Our industry is in the midst of a vast change.  To deal with the escalating complexity (making a semiconductor chip now uses the great majority of the periodic table of the elements) and capital cost, many companies have had to combine, consolidate, and increasingly collaborate along the length of the electronics manufacturing supply chain.

Some companies have broadened their businesses by investing in adjacent segments such as Flexible Hybrid Electronics (FHE), MEMS, Sensors, LEDs, PV, and Display.  Lines are blurring between segments – PCBs have morphed into flexible substrates, SiP is both a device and a system.  Electronics integrators are rapidly innovating and driving new form factors, new requirements, and new technologies which require wide cooperation across the length of the electronics manufacturing supply chain and across a breadth of segments.

The business is changing and SEMI’s members are changing.  When SEMI’s members change, SEMI must change, too – and SEMI has, and is.  SEMI developed a transformation plan, SEMI 2020, which I wrote about at the beginning of 2016.  We’re well on our way on this path and in next week’s e-newsletter Global Update, I’d like to update you on what we’ve accomplished and what’s to come.

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2017.

Driving the industry forward with materials engineering

Raja_Prabu_fullPrabu Raja, vice president and general manager, Patterning and Packaging Group, Applied Materials, Inc.

Over the past few years, the industry has made remarkable progress in bringing 3D chip architectures to volume production. In 2017, we will continue to see exciting technology innovations for scaling 3D NAND devices to 64 layers, ramping the 10nm process node into volume manufacturing and increasing the adoption of highly integrated chip packages.

With the transition to the 3D and sub-10nm era, the semiconductor world is changing from lithography-based scaling to materials-enabled scaling. This shift requires multiple new materials and capabilities in selective processing.

The magnitude and pace of these changes are truly disruptive. For example, with 3D NAND materials innovations for hard mask deposition and hard mask etch are essential. The challenge is to build high aspect ratio vertical structures with uniform profiles from the top to the bottom as more layers are added. Selective removal processes can remove targeted materials in vertical and horizontal structures without damage or residue throughout the stack.

For logic/foundry, the introduction of the 10nm process node in volume manufacturing brings significant growth in the number of patterning steps. This trend will increase even more for 7nm and below designs. Patterning these advanced nodes requires innovative etch capabilities to deliver feature-scale uniformity with low line edge roughness. Selective processes and alternative manufacturing schemes will also be needed as the industry seeks solutions for layer-to-layer vertical alignment. We expect this to result in a two-fold increase in the number of materials to be deposited and removed.

Finally, the industry will continue to adopt new and improved packaging schemes for enabling increased device performance, lower power consumption and to deliver desired form factors. In 2016, we saw the volume adoption of Fan-Out packaging in mobile devices and this trend is expected to grow further in 2017. The high performance computing segment will pursue 2.5D interposer and/or 3D TSV packaging schemes for higher memory bandwidth, lower latency and better power efficiency.

Applied Materials is focused on delivering game-changing selective process technologies and materials innovations to help solve the industry’s toughest challenges.