Category Archives: Packaging and Testing

The official Call for Papers has been issued for the 2016 Symposia on VLSI Technology and Circuits, to be held at the Hilton Hawaiian Village June 13-16, 2016 (Technology) and June 15-17, 2016 (Circuits). The deadline for paper submissions to both conferences is January 25, 2016. The late-news paper submissions deadline for the Symposia on VLSI Technology is March 24, 2016; there is no late-news submission for the Symposium on VLSI Circuits. Complete details for paper submission can be found online at: http://www.vlsisymposium.org/authors/

For the past 28 years, the combined annual Symposia on VLSI Technology and Circuits has provided an opportunity for the world’s top device technologists, circuit and system designers to engage in an open exchange of leading edge ideas at the world’s premier mid-year conference for microelectronics technology. Held together since 1987, the Symposia on VLSI Technology and Circuits have alternated each year between sites in the US and Japan, enabling attendees to learn about new directions in the development of VLSI technology & circuit design through the industry’s leading research and development presentations.

The comprehensive technical programs at the two Symposia are augmented with short courses, invited speakers and several evening panel sessions. Since 2012, the Symposia have presented joint focus sessions that include invited and contributed papers on topics of mutual interest to both technology and circuit attendees.

The Symposium on VLSI Technology seeks technical innovation and advances in all aspects of IC technology, as well as the emerging IoT (Internet of Things) field, including:

  • IoT systems & technologies, including ultra-low power, heterogeneous integration, sensors, connectivity, power management, digital/analog, microcontrollers and application processors
  • Stand-alone & embedded memories, including DRAM, SRAM, non-volatile and emerging memory technologies
  • CMOS Technology, microprocessors & SoCs, including scaling, VLSI manufacturing concepts and yield optimization
  • RF / analog  /digital technologies for mixed-signal SoC, RF front end; analog, mixed-signal I/O, high voltage, imaging, MEMS, integrated sensors
  • Process & material technologies, including advanced transistor process and architecture, modeling and reliability; alternate channel; advanced lithography, high-density patterning; SOI and III-V technologies, photonics, local interconnects and Cu/optical interconnect scaling
  • Packaging technologies & System-in-Package (SiP)
  • Photonics Technology & “Beyond CMOS” devices 

The Symposium on VLSI Circuits seeks original papers showcasing technical innovations and advances in the following areas:

  • Digital circuits and processor techniques for standalone and embedded processors
  • Memory circuits, architectures & interfaces for volatile and non-volatile memories, including emerging memory technologies
  • Clock generation and distribution for high-frequency digital and mixed-signal applications
  • Analog and mixed-signal circuits, including amplifiers, filters and data converters
  • Wireline receivers & transmitters, including circuits for inter-chip and long-reach applications
  • Wireless receivers & transmitters, including circuits for WAN, LAN, PAN, BAN, inter-chip and mm-wave applications
  • Power management circuits, including battery management circuits, voltage regulators, energy harvesting circuits
  • Application-oriented circuits & VLSI systems, imagers, displays, and sensors for biomedical and healthcare applications

Joint technology and circuits focus sessions feature invited and contributed papers highlighting innovations and advances in materials, processes, devices, integration, reliability and modeling in the areas of advanced memories, 3D integration, and the impact of technology scaling on advanced circuit design. Submissions are strongly encouraged in the following areas of joint interest:

  • Design in scaled technologies: scaling of digital, memory, analog and mixed-signal circuits in advanced CMOS processes
  • Design enablement: design for manufacturing, process/design co-optimization, on-die monitoring of variability and reliability
  • Embedded memory technology & design: SRAM, DRAM, Flash, PCRAM, RRAM, MRAM and NVRAM memory technologies
  • 3D & heterogeneous integration: power and thermal management; inter-chip communications, SIP architectures and systems

Papers sought for “big integration”

Authors are encouraged to submit papers that showcase innovations that extend beyond single ICs and into the module, including focus areas in the Internet of Things (IoT), industrial electronics, “big data” management, biomedical applications, robotics and smart cars. These topics will be featured in focus sessions as part of the program.

Best Student Paper Award

Awards for best student paper at each Symposia will be chosen, based on the quality of the papers and presentations. The recipients will receive a financial award, travel cost support and a certificate at the opening session of the 2017 Symposium. For a paper to be reviewed for this award, the author must be enrolled as a full-time student at the time of submission, must be the lead author and presenter of the paper, and must indicate on the web submission form that the paper is a student paper.

Tektronix, Inc., a global manufacturer of oscilloscopes, today announced the expansion of its DPO70000SX Performance Oscilloscope Series to include 50 GHz and 23 GHz models. By extending the flagship 70 GHz model, the new 50 GHz product is targeted for engineers and researchers who want to take advantage of the superior low-noise performance of the patented asynchronous time interleaving (ATI) architecture for technologies such as 28 GBaud PAM4 and Kband frequency testing. The 23 GHz instrument joins the existing 33GHz models which feature compact dimensions and built-in scalability using the UltraSync synchronization technology.

The growing family of DPO70000SX Series Performance Oscilloscopes deliver some of the lowest-noise and highest fidelity of any ultra-high bandwidth real-time oscilloscope available on the market today. As speeds go up and amplitudes go down, system noise has become a major challenge because it obscures important details in signal behavior. Tektronix’s 50 GHz and 70 GHz ATI oscilloscopes allow engineers to more accurately capture and measure higher frequency signals with up to 30% less system noise than legacy frequency interleaving approaches.

“The DPO70000SX Series is setting the new standard for performance leadership. We are quickly expanding the family in direct response to customer demand,” said Brian Reich, general manager Performance Oscilloscopes, Tektronix. “With our flagship model offering 10% more bandwidth, 25% higher sample rate and 30% lower noise than the nearest major competitor, we wanted to extend our portfolio to cover a broader variety of engineers and researchers who are serious about signal integrity.”

Symmetrical signal paths for lower noise

Current real time scope solutions for digitizing ultra-high bandwidth signals distribute signal energy to two digitizing paths then use DSP to reconstruct the input signal. Unlike legacy schemes, Tektronix’s unique ATI architecture uses a symmetrical technique that delivers all signal energy to both digitizing paths resulting in an inherent noise advantage when signals are reconstructed. The 50 GHz instrument’s ATI channel offers 200 GS/s sample rate for 5 ps/sample resolution. It also has two standard (non-ATI) 33 GHz channels with 100 GS/s sample rate for 10 ps/sample resolution.

To further enhance signal fidelity, DPO70000SX oscilloscopes use a compact 5 1/4 inch form factor so the instrument can be positioned very close to the device under test (DUT) for shorter cable lengths and cleaner signals. The low height means each unit fits in a single 3U rackmount space, or two oscilloscopes can be stacked in the same space as a single standard bench oscilloscope.

Precise multi-instrument timing synchronization is required for test applications such as validation of high-speed networking technologies used in long-reach fiber systems (DP-QPSK Coherent Modulation) and shorter-reach (PAM4) data center networks. The DPO70000SX oscilloscopes meet these needs through the patent-pending UltraSync architecture that provides precise data synchronization and convenient operation of multi-unit systems. UltraSync uses a 12.5 GHz sample clock reference and coordinated trigger for inherent channel-to-channel skew stability superior to channels within a single instrument.

Multi-level signaling is being planned for deployment in future 56GBaud Datacom standards for transmission of distances up to 10km using a technique known as PAM4. The multi-level signaling presents unique measurement challenges for today’s design engineers. To provide testing insight on this new technology, Tektronix is rolling out PAM4 Analysis support on the DPO70000SX family. The low noise acquisition system in the DPO70000SX 50 GHz and 70 GHz models enables very accurate characterization of PAM4 signaling with this latest analysis toolset.

Rounding out the DPO70000SX Series, Tektronix is also introducing a new 23 GHz model that takes advantage of the compact form factor and supports UltraSync. It features four 23 GHz non-ATI channels with a 50 GS/s sample rate on each, for 20 ps/sample resolution.

Process Watch: Risky business


September 18, 2015

By Douglas G. Sutherland and David W. Price

Authors’ Note: This is the ninth in a series of 10 installments that explore certain fundamental truths about process control—defect inspection and metrology—for the semiconductor industry. Each article introduces one of the 10 fundamental truths and highlights its implications. Within this paper we will use the term inspection to imply either defect inspection or a parametric measurement such as film thickness or critical dimension (CD).

Previous installments have discussed many aspects of process control from general concepts to specific issues related to risk management (see below for links to previous Process Watch articles). In this article we will focus on strategies for managing risk associated with the most difficult steps in the process.

The ninth fundamental truth of process control for the semiconductor IC industry is:

High-Stakes Problems Require a Layered Process Control Strategy

In the IC manufacturing process there are a bewildering number of things that can go wrong and there is a tremendous amount of money at risk. As the margins of error steadily decrease with each new design node, the number of parameters that can wreak havoc on the process continues to rise. The increasing complexity of multiple patterning, pitch splitting and other advanced patterning techniques does nothing to mitigate this problem.

This increased process complexity drives the need for new process control strategies. For example, higher order overlay corrections that were largely unheard of above 45nm are now considered mandatory at 2Xnm and below. Similarly, wafer topography, something that historically was only measured during the manufacture of bare wafers, is now becoming a requirement in IC fabs to accommodate the shallower depth of focus in today’s scanners. For the same reasons, wafer backside and edge inspection are also becoming common practices. The difficulty of some process steps necessitates that they have more than just a single line of defense.

Figure 1 below shows the severity of a potential problem increasing in the horizontal direction and the probability of that problem actually occurring increasing in the vertical direction. In this figure the term “risk” can be thought of as the product of these two attributes – the amount of material impacted (severity) multiplied by the probability of it happening. The severity could increase for a number of reasons: the next inspection point could be many steps downstream from the current step, the process tools at the current step may have very high throughput so that by the time the problem is identified many lots have been exposed to it, or both.

Figure 1. Risk exposure chart with higher severity to the right and higher probability to the top. The problems that require a layered approach to risk management are those in the upper right hand corner where the probability of having a problem is high and the amount of material exposed to that problem is large.

Figure 1. Risk exposure chart with higher severity to the right and higher probability to the top. The problems that require a layered approach to risk management are those in the upper right hand corner where the probability of having a problem is high and the amount of material exposed to that problem is large.

Clearly the safest place to operate is in the lower left corner where both probability and severity are low. However, for process steps that are inherently closer to the upper right hand corner of the chart—high probability and high severity—it often makes sense to have a layered approach to process control in which there is a well thought out back-up plan if the problem is not immediately identified with the first inspection step. Sometimes there are aspects of the problem that are easier to detect later in the process than immediately after the problem step.

Consider the case of forming the first metal layer that wires together the individual transistors. This can be particularly difficult for a number of reasons. The CDs and pitches are aggressive—often at design rules similar to the gate layer. Also, the opportunity for built-in redundancy (multiple vias) is low because there is only one point of contact for each of the transistor connections (source, drain and gate), so every connection has to work.

In such a case it makes sense to have multiple layers of protection, each of which has unique capabilities. For instance, you might perform macro inspection after the photo step to discover any gross defects in the lithography process. There should also be inspection steps after oxide etch, barrier deposition and copper CMP. Having multiple inspection steps ensures the quality of the process throughout the formation of this layer and also helps ensure that you catch problems that originate at one step but may not become apparent until later in the process.

Simply waiting to do a final inspection at copper CMP is usually not sufficient. Doing so will pick up problems in the CMP process but may not allow for distinguishing these from issues that may have originated at an earlier step. Only by inspecting the same wafer at multiple steps are you able to subtract out previous-layer defects and isolate the problem.

Having multiple inspection points has several benefits. It helps identify problems early in the process flow, which significantly reduces the amount of material exposed. A device with 50,000 wafer starts per month has about 1,600 wafer starts per day. Identifying a problem one day sooner can save millions of dollars (depending on the yield loss and wafer cost). Multiple inspection points also help diagnose where the problem occurred and expedite the recovery procedure. Over time, they provide more information about the process allowing for continuous improvement plans that can help reduce not only the severity but also the frequency of problems.

Previous Process Watches:

About the authors:

Dr. David W. Price is a Senior Director at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 10 years, Drs. Price and Sutherland have worked directly with more than 50 semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

The future of MEMS in the IoT


September 3, 2015

By Pete Singer, Editor-in-Chief

SEMI’s European MEMS Summit will be held on 17-18 September 2015 in Milan, Italy. Over the course of the two-day event, more than 20 keynote and invited speakers from the entire supply chain will share their perspectives and latest updates, including participation by European MEMS leaders. In addition, a focused industry exhibition will complement the conferences offering with additional networking opportunities.

In advance of the event, we asked members of the conference steering committee about what’s happening in the world of MEMS. Answers came from:

  • Stefan Finkbeiner, CEO Bosch Sensortec
  • Benedetto Vigna, Executive Vice President and General Manager, Analog MEMS, and Sensors Group, STMicroelectronics
  • Christophe Zinck, Senior Application Engineering Manager, ASE Group
  • Eric Mounier, Senior Analyst MEMS, Yole Developpement
  • Martina Vogel, Officer of the Director of the Institute, Fraunhofer ENAS
  • Yann Guillou, Business Development Manager and MEMS Summit event Manager, SEMI Europe Grenoble Office

Q: What do you see as the big trends and challenges in MEMS and their applications, particularly with regard to the IoT.

“The application of MEMS sensors to the IoT-enabled markets (e.g. wearables, smart home, etc.) will require sensors to shrink further and to work even more power-efficient as in smartphones,” said Dr. Stefan Finkbeiner, CEO Bosch Sensortec. “In particular, the application side of the sensor will demand more attention. The value-add of a sensor must be convincing to become designed into a certain product,” he added.

Finkbeiner said he sees a big market pull for gas sensors such as the Bosch in-door air quality sensor, the BME680. “That trend is visible for the smartphone as well as for the IoT-enabled markets, like for example the Smart Home market,” he said.

Martina Vogel, officer of the director of the institute, Fraunhofer ENAS, said: “We see, that MEMS exist almost everywhere in our daily lives – in our homes, our cars, our workplaces – and yet they go largely unnoticed. Despite this low profile, microsystems have undergone rapid development in the last two decades, evolving from miniaturized single-function systems into increasingly complex integrated systems. From our point of view we call these complex integrated systems, smart integrated systems.

From performance point of view we distinguish between different generations of smart systems. The first and the second generation entered into diverse applications. The first generation of Smart Systems consisted of several packages of components connected on a single substrate, or printed circuit board. These devices are commercially available in medical applications such as hearing aids and pacemakers, as well as in automotive applications such as airbag systems. The best-known example of a second-generation Smart System is the ubiquitous smart phone, which has seen great commercial success.

Smart systems of the third generation are self-sufficient intelligent technical systems or subsystems with advanced functionality, which bring together sensing, actuation and data processing, informatics / communications. Therefore these systems are not only able to sense but to diagnose, describe and manage any given situation. They are highly reliable and their operation is further enhanced by their ability to mutually address, identify and work in consort with each other. Such smart systems will be the hardware basis for the internet of things (IoT).”

From technology point of view, Vogel said such systems “are not limited to silicon–based technologies but integrate polymer-based technologies, printing technologies (e.g. for printed antennas, printed sensors, displays or batteries), different nanotechnologies (e.g spintronic devices, CNT based devices or devices based on embedded nanoparticles) and even embroidering technologies for sensors.”

Benedetto Vigna, Executive Vice President and General Manager, Analog MEMS, and Sensors Group, STMicroelectronics, said: “The next wave of MEMS development is moving toward actuation and, while the ripples from these beautiful little machines have been building slowly for years, they are converging quickly with the Internet of Things (IoT). We are beginning to see new applications such as tiny mirrors that enable people to interact more naturally with technology, smaller, faster autofocus solutions for mobile phones, and new types of printheads for 3D printing — and this is just the beginning.”

Christophe Zinck, senior application engineering manager, ASE Group, said the big trends and challenges from his perspective are “form factor (especially height), co-integration (flexibility to be used in different modules/SiP (in term of packaging of course but also compatibility with different wireless standard), power consumption and, of course, cost.”

Eric Mounier, senior analyst MEMS, Yole Developpement, said: “For us, MEMS is just a technology among others that could answer the IoT’s requirements for sensors. Indeed, type of sensing required for IoT is very broad: Inertial sensing, chemical sensing , pressure sensing, light sensing … any physical event.

Sensor for the internet of things follow several requirements, Mournier says:

  • Low power consumption (Due to the integration in wireless battery powered modules)
  • Small form factor (Due to the need for small wireless sensors)
  • Low cost (As IoT large expansion lies in the availability of low cost sensors)

For now, several sensing solutions exist in different fields (inertial sensors in smartphones for example). But strong challenges still have to be overcome:

  • New sensing solutions (such as MEMS chemical sensors, etc.)
  • Low cost, highly integrated solutions (via 3D stacking, etc.)
  • Standardization; The IoT is the accumulation of thousands of different applications requiring low cost solutions, but with limited volumes. Developing one sensor per application is not possible due to development costs.

“I am pretty confident MEMS will be used for IoT, specially for gas/chemical sensing. MEMS technologies for gas sensors have many advantages compared to other technologies: Up to 50% size reduction and cost reduction, CMOS scalable technology,” Mournier said. “With cost and miniaturization to be a driving force for consumer and industrial Iot applications, it opens the way to new technologies such as MEMS.”

Q: Sensor fusion is an intriguing thought and the ultimate device might have multiple sensors integrated with energy harvesting, a thin film battery, a microprocessor/ASIC, wireless communication capability, etc. How far away from that are we? What are the big challenges? Is it cost? Integration? Packaging? Form factor? What are the leading applications?

ST’s Vigna said “We are already well on the sensor-fusion path that contains multiple sensors integrated with a thin-film battery, a microprocessor/ASIC, and wireless communication capability. The two technical challenges are low-power radio and high-efficiency (energy) harvester.”

Finkbeiner said Bosch Sensortec already provides leading edge sensor fusion SW integrated within a multi-sensor 9-axis device powered by an ARM µController. “This single package device – the BNO055 – is already available and specifically targeting at motion sensing and orientation detection applications in the IoT-enabled markets. Energy harvesting and thin film batteries might still be a bit too far away from being capable of offering enough energy for this particular use case at reasonably small size. But there’s a lot of research in this area. The challenges? Yes, cost/price is always the main driver. Small size is also important. It allows for small form factor products and better placement flexibility.”

Fraunhofer’s Vogel said there is a lot of work carried out with in ECSEL and especially EPoSS. “EPoSS the industry driven Euroean Platform on smart system integration is just working more than 10 years in this field,” she said. “Big challenges are of course packaging and integration from technology point of view. But also issues like big data handling and data security in the internet need to be solved.”

Vogel said market reports concerning IoT predict two trends:

  • Printed electronic systems that will enable – low cost sensing. Printing technologies, such as roll-to-roll (R2R) will enable extremely large volumes and low cost. Also expect disposable devices with a short lifespan.
  • Sensor “swarms” for inorganic sensing. Devices will have complete integration of sensing, processing RF, energy harvesting, on single small chip ( <1mm2).

ASE’s Zinck said he didn’t see things going that far, “but each sensor fusion is quite specific and current modules are often using custom ASIC, MEMS, etc. The next big challenge is flexibility for co-integration and this will require availability of bare die on the market, otherwise small and efficient SiPs won’t be easily available if you cannot mix best solutions available on the market (in terms of performance and cost, of course).

Zinck said there are also lots of challenges regarding packaging, including compartmental shielding to avoid parasitic between components, antenna on package (especially for wearable), and test.

Q: We’re hearing a lot about wearables and medical applications, but what about applications in the smart home, smart city, smart grid, industrial and, of course, automotive ?

Vigna said: “There are already numerous applications for MEMS in Smart Environments, Smart Driving, and Smart Things and many of ST’s customers are leading that charge by combining elements of ST’s complete portfolio. We’ve got customers using ST MEMS, MCUs, analog and power, and connectivity products in smart thermostats, smart lighting, smart meters, and Smart Driving applications. If you’re not hearing enough about these, it is only because the wearable and medical applications may be sexier.”

Finkbeiner said: The sensors for the other IoT-enabled markets like smart home, smart grid etc. are available or already being developed … what is lacking is the corresponding infrastructure, that means the upper layers for aggregating, collecting and intelligent interpretation of the vast sensor data and bringing them into the cloud. This will for example require standards how to handle sensor data at an higher, more abstract level. But that’s beyond the domain of the MEMS sensor suppliers. At Bosch we have therefore founded Bosch Connected Devices & Solutions, a business unit which develops complete solutions based upon our MEMS sensors.

Vogel said: “Just several years ago Frost and Sullivan pointed out that smart is the new green. The concept of ‘Smart Earth’ is, in fact, the in-depth application of a new generation of network and information technologies. Smart cities arise worldwide. Global concepts for smart production are under development. The Internet of Things – IoT – including smart grid, smart health, smart city, smart buildings, smart home, smart production and smart mobility provides not only big opportunities but is requesting more highly integrated smart systems from the hardware side. The total number of connected devices is expected to grow rapidly. Electronic components and systems are a pervasive key enabling technology, impacting all industrial branches and almost all aspects of life.”

Zinck said: “Wearables and medical are driving SiPs developments as low power and very aggressive from factor, at low cost are mandatory. Smart home, smart city, etc. are using a lot of MEMS and sensors, but the challenges are not exactly the same, some are similar in particular for Smart home (low power, wireless modules, etc.) but there is less pressure on form factors.”

Automotive is a different topic, says Zinck. “The trend we can see is to go smaller for sure, but for the moment it implies move away from leaded packages to leadless, with specific technology developments like wettable flank QFN.  Also for automotive two categories have to be clearly distinguished:

  • Non-safety applications (like Infotainment):  basically similar trend as consumer MEMS, with more and more sensors in the cabin (uphones, pressure, etc.)
  • Safety applications: very robust have to be used, but some “intelligent SiPs” are already available like QFN 7×7 TPMS (featuring an accelerometer + ASIC + pressure sensor).

Q: Europe in general is very strong in MEMS for various reasons. Why does it make sense to have the MEMS Summit in Europe?

SEMI’s Yann Guillou said Europe is home to several strong IDMs in MEMS, and most notably home to Bosch and STMicroelectronics. “These MEMS leaders are often identified as the industry’s ‘Titans’. These IDMs have contributed enormously to the European industry, but they have also benefited from a strong value chain in the region: RTOs, equipment and materials companies, foundries, etc. Having such leaders in the region is definitively a differentiating factor for Europe in a MEMS and sensor industry that is facing mounting competition. With the IoT, many new business opportunities may arise and increase the competition. This might shake up the current state of the industry,” he said.

Organizing such event in Europe was pretty straightforward. We took this decision more than 1 year ago and it looks like this decision was right. Today more than 200 people are already registered for this event and we expect to go beyond. I see lot of non-European companies planning to attend, including many US and Asian companies. Interest is strong in Asia for this event. People from Korea, Taiwan and China will be attending. As an example, we will be pleased to receive the visit of a Chinese delegation interested to develop business and technology partnerships with European companies.

Knowm Inc., a start-up pioneering next-generation advanced computing architectures and technology, today announced they are the first to develop and make commercially-available memristors with bi-directional incremental learning capability. The device was developed through research from Boise State University’s Dr. Kris Campbell, and this new data unequivocally confirms Knowm’s memristors are capable of bi-directional incremental learning. This has been previously deemed impossible in filamentary devices by Knowm’s competitors, including IBM, despite significant investment in materials, research and development. With this advancement, Knowm delivers the first commercial memristors that can adjust resistance in incremental steps in both direction rather than only one direction with an all-or-nothing ‘erase’. This advancement opens the gateway to extremely efficient and powerful machine learning and artificial intelligence applications.

“Having commercially-available memristors with bi-directional voltage-dependent incremental capability is a huge step forward for the field of machine learning and, particularly, AHaH Computing,” said Alex Nugent, CEO and co-founder of Knowm. “We have been dreaming about this device and developing the theory for how to apply them to best maximize their potential for more than a decade, but the lack of capability confirmation had been holding us back. This data is truly a monumental technical milestone and it will serve as a springboard to catapult Knowm and AHaH Computing forward.”

Memristors with the bi-directional incremental resistance change property are the foundation for developing learning hardware such as Knowm Inc.’s recently announced Thermodynamic RAM (kT-RAM) and help realize the full potential of AHaH Computing. The availability of kT-RAM will have the largest impact in fields that require higher computational power for machine learning tasks like autonomous robotics, big-data analysis and intelligent Internet assistants. kT-RAM radically increases the efficiency of synaptic integration and adaptation operations by reducing them to physically adaptive ‘analog’ memristor-based circuits. Synaptic integration and adaptation are the core operations behind tasks such as pattern recognition and inference. Knowm Inc. is the first company in the world to bring this technology to market.

Knowm is ushering in the next phase of computing with the first general-purpose neuromemristive processor specification. Earlier this year the company announced the commercial availability of the first products in support of the kT-RAM technology stack. These include the sale of discrete memristor chips, a Back End of Line (BEOL) CMOS+memristor service, the SENSE and Application Servers and their first application named “Knowm Anomaly”, the first application built based on the theory of AHaH Computing and kT-RAM architecture. Knowm also simultaneously announced the company’s visionary developer program for organizations and individual developers. This includes the Knowm API, which serves as development hardware and training resources for co-developing the Knowm technology stack.

New “thermodynamic RAM” (kT-RAM) artificial neural network (ANN) architecture from Knowm is inherent adaptive, and built with memristors capable of bi-directional incremental resistance changes for efficient learning. (Source: Knowm)

New “thermodynamic RAM” (kT-RAM) artificial neural network (ANN) architecture from Knowm is inherent adaptive, and built with memristors capable of bi-directional incremental resistance changes for efficient learning. (Source: Knowm)

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, will host a special session at the 41st Micro and Nano Engineering (MNE 2015) conference–an international conference on micro- and nanofabrication and manufacturing using lithography and related techniques. The first EVG Photonics Workshop will take place at the World Forum in The Hague, The Netherlands, during the afternoon of the opening day of MNE 2015 on Wednesday, September 21.

Photonic applications are emerging rapidly, with photonic devices enabling new functionalities, smaller form factors, improved performance and reduced costs for broadband communications, sensing, bio-medical measurement devices and other applications. In particular, silicon photonics have received significant attention in recent years owing to their potential for enabling energy-efficient and affordable short-reach optical interconnects.

The EVG Photonics Workshop will bring together leading experts from device manufacturing and system suppliers to discuss flexible cooperation models, available platforms and applications, including nanoimprint lithography as an efficient manufacturing solution for photonic devices. The aim of this workshop is to foster the development of customer and industry partnerships, overcome the challenges and reduce the time to market for innovative photonic devices and applications. The EVG Photonics Workshop is free of charge. However, seating is limited and online registration is required at www.EVGroup.com/EVGPhotonicsWorkshop.

The EVG Photonics Workshop complements the company’s other activities at MNE 2015. In addition to exhibiting at the show (booth #12), EVG is a collaborative partner on the Single Nanometer Manufacturing beyond CMOS devices (SNM) project, which will be the topic of an MNE special session, “Single Nanometer Manufacturing,” on Tuesday, September 22 from 17:00 – 19:00.

The aim of the European Commission-funded SNM project is to establish new paths for manufacturing ultimate nanoscale electronic, optical and mechanical devices. 16 organizations from industry, academia and research institutes are participating in this unique project, which is headed by Professor Ivo W. Rangelow of the Technische Universität Ilmenau. The session will inform experts in lithography techniques and pattern transfer, metrology specialists and all other interested specialists about the latest and future developments in nanoscale manufacturing.

Full details on both events can be found on the MNE 2015 website at http://mne2015.org/programme/satellite-meetings/special-sessionsuser-meetings/

As Bosch, InvenSense and STMicroelectronics continue to go head-to-head in an attempt to capture the biggest shares of the growing MEMS market, SEMI has announced that it will bring these MEMS giants together in an unprecedented European conference & exhibition to discuss the future of MEMS and Sensors.

The SEMI European MEMS Summit will take place on September 17-18, 2015 in Milan Italy. Featuring international speakers, exhibitors and attendees, the event is expected to tackle the most pressing issues currently facing the industry. Click here for a detailed agenda and to register.

Sensing the Planet, MEMS for Life

SEMI will be hosting some of the most influential decision-makers in MEMS and Sensors as they discuss the European MEMS Summit’s theme: Sensing the Planet, MEMS for Life. Constant innovation in the realm of MEMS is helping to define a new relationship between people, their devices and the world around them, a world that they can now sense, measure and monitor with just the push of a button. A few kilometers away, cultural and political figures from around the globe at Milan EXPO 2015, Feeding the Planet, Energy for Life – insisting on the need for more intelligent resource distribution. It is not a stretch to think that MEMS technology will be instrumental in the efficient management of our planet’s resources in years to come.

SEMI endeavors to give its members and the ecosystem in the industry a platform for presenting some of the most important challenges and promising technologies that will define the future development of MEMS and Sensors.

What to expect from the European MEMS Summit conference

Business

Yole Développement recently announced that the MEMS market is projected to grow to $22 billion by 2018. Applications for MEMS continue to expand in the automotive and personal electronics sectors, giving MEMS manufacturers a favorable environment for growth. In this growing market, what are the most promising business prospects and of which opportunities do companies need to take advantage?

SEMI will give attendees an in-depth view of the challenges and opportunities for the MEMS industry today. Attendees will hear from six experts, including keynote speaker Benedetto Vigna, Executive VP of STMicroelectronics, who will share their insights into the business of MEMS today. Speakers will share, among other things, strategies for improving the MEMS value chain, the opportunities and risks associated with the development of highly individualized MEMS devices and the important innovations in the sector that will present big opportunities in the near future.  Of note: a presentation from SITRI will demonstrate China’s ambitious investment in microelectronics these days and the country’s deep interest in sharing their development of MEMS and Sensors with European and international companies.  Not coincidentally, a Chinese delegation composed of domestic device makers, foundries, OSAT and equipment suppliers will be present at the Summit to meet with members of the European industry.

Technology

Conference-goers will also learn all about the today’s cutting-edge innovations & processes in the development of MEMS devices. The segment will include a keynote address by Kees Joosse of TSMC Europe. Invited speakers will discuss current MEMS technology and the trends on the horizon that will make MEMS smaller, smarter and less expensive. Most notably, attendees will hear about MEMS and CMOS co-integration, sensors hubs and sensor fusion, MEMS manufacturing on 300mm wafers, thin film piezoelectric and magnetic materials, TSV/TGV, interposers, and Wafer Level Packaging. TSMC, ASE, Silex, CEA-Leti and STMicroelectronics will be among the companies addressing the above-mentioned topics.

Applications

The key for the continued growth of the MEMS sector is the increasing pervasiveness of the technology in everyday devices and its now systematic integration into new devices. The applicative segments of the MEMS Summit will focus on the IoT, consumer electronics, wearables and the automotive sector, with keynote talks given by Bosch Sensortec’s CEO and GM, Steffen Finkbeiner and InvenSense’s CEO, Behrooz Abdi. Finkbeiner and Abdi will respectively talk about “MEMS Sensors: Enabler of the IoT” and “Internet of Sensors”, each sharing his vision of the current state and the potential evolution of the IoT. In addition, speakers from ams AG and ARM will share their viewpoints and value propositions for the IoT. Infineon and Huawei will also be present to give talks on consumer electronics.

The session will end with a noteworthy session dealing with the changes in the MEMS automotive landscape, featuring James Bates, VP Sensor and Analog at Freescale, Gina-Maria Espinoza-Garcia from Sensata (the new number 2 company in Automotive MEMS) and Jérémie Bouchaud from IHS.

More than just a conference!

In addition to a high-caliber conference, the European MEMS Summit will offer an industry exhibition as well as a wealth of good networking opportunities. The exhibition will host 30 companies that are important actors in the field of MEMS. A series of coffee and lunch breaks will give visitors a chance to acquaint themselves with the summit exhibitors and their products, and will also give them the opportunity to meet fellow colleagues working in MEMS. Held at the Atahotel Expo Fiera, attendees will be just steps away from EXPO 2015, Milan’s Universal Exposition.

Join SEMI for this important industry event to stay on the cutting edge of MEMS & Sensor technology!

MEMS Industry Group (MIG)’s next MEMS Executive Congress US 2015 — the annual business conference and networking event for the MEMS and sensors industry, November 4-6, 2015 in Napa, CA — will explore the market drivers behind the double-digit growth propelling MEMS and sensors to more than $20+ billion by 2020.

“From the smart home and the highway to the factory floor and the hospital, tens of billions of MEMS and sensors add greater intelligence to the ways we live our lives and do our work,” said Karen Lightman, executive director, MEMS Industry Group. “As the year’s ‘touchpoint’ event for the sellers and buyers of MEMS/sensors technology, MEMS Executive Congress US attracts forward-thinking executives who want to interact with innovative speakers, business partners, and even competitors, all involved in using MEMS/sensors to transform the human experience.”

Ms. Lightman pointed out that the dramatic growth in the MEMS market alone should reach nearly 25 billion units annually by 2019, according to the market research firm IHS2. “And if we add a selection of sensors — magnetic sensors, light sensors and emerging sensors for consumer applications, such as fingerprint sensors — we are looking at an additional 5+ billion units by that time. That’s a massive number of MEMS/sensors adding product-differentiating value to commercial applications just four years from today.”

Keynotes

Featured Speakers

Panels

Featured Events
MIG’s fifth annual MEMS and Sensors Technology Showcase provides Congress attendees an up-close experience with some of the coolest new MEMS- and sensors-enabled products ever invented. After interactive demo sessions and conference-wide presentations, attendees will vote on a winner.

MIG’s third annual Elevator Pitch Session gives entrepreneurs and startups a forum for pitching their products and ideas to a seasoned panel of industry veterans and investors. It also offers exposure to the biggest gathering of MEMS and sensors executives in North America. Elevator Pitch Session finalists will try to impress Judges Silicon Catalyst CEO and Co-founder Dan Armbrust, Nasiri Ventures Principal Steve Nasiri, and Silicon Valley Band of Angels Member Kurt Petersen as they jockey for the top spot.

MIG’s annual Best in MEMS and Sensors Innovation Awards (MEMSies) will recognize outstanding achievements in the MEMS and sensors industry.

For the complete agenda, visit: http://us2015.memscongress.com/agenda/

By Bettina Weiss, VP, Business Development and Product Management, SEMI

Leading industry experts participated in the joint SEMI-MEMS Industry Group (MIG) workshop during SEMICON West 2015 to discuss industry challenges – and potential solutions and collaborative approaches – in the MEMS, sensors and semiconductor industries. The group discussed commonalities, lessons learned, and tried-and-true solutions such as standardization, best known methods (BKMs) and other pre- or non-competitive platforms to tackle some of the more vexing technology challenges in MEMS, sensors, and semiconductors. Disucssions covered heterogeneous integration, system-level packaging and a likely  move to 300mm wafers for MEMS devices.

The joint workshop was a direct result of a survey from both SEMI and MIG, conducted in parallel among their respective members in the spring.  SEMI and MIG members were asked to respond to and rank issues and challenges they see coming in the next 5-10 years, from product development and ramping to testing, packaging, and the need for Standards and broader platforms for collaboration. As an example, the chart below shows a strong indication on both the semiconductor as well as the MEMS/sensor side for the importance of higher integration devices, which makes it a natural topic for SEMI and MIG members to collaborate.

Alissa Fitzgerald of AM Fitzgerald & Associates, Dave Thomas of STPS Technologies, and Michael Nagib of Si-Ware Systems kicked off the workshop with presentations highlighting their perspective of overarching industry challenges and how innovative solutions create smarter products. Fitzgerald spoke specifically to “The Business Case for MEMS Standardization,” providing concrete examples – SOI wafer specifications and DRIE test pattern and recipe performance ─ where Standards could provide immediate benefits to the MEMS industry. She encouraged executives to study the financial benefits derived from standards and to send their engineers to actively contribute to new standards development.

The panel discussion following the presentations provided substance for the subsequent Q&A and open discussion. Moderated by Steve Whalley of MEMS Industry Group, Mike Rosa of Applied Materials, Bill Chen of ASE Group, Nim Tea of InvenSense, Inc. and Claire Troadec of Yole Developpment discussed “Manufacturing for the Internet of Things” from their vantage points and then participated in the open discussion. MEMS, sensors and semiconductor devices are headed to the Internet of Things – and that means the IoT will also require Standards. Participants talked about a variety of topics where Standards can be beneficial, from specifications for thin wafer handling and novel materials to FOLWP, monolithic integration between CMOS and MEMS and optimization of volume production processes.

Are supply chain stakeholders really collaborating, though, to leverage existing Standards, as well as jointly prioritize the need for new specifications and test methods? Are there other platforms for achieving shared objectives aside from Standards? How can we drive solutions with speed and agility? SEMI and MIG will take up these issues with the formation of a Joint Task Force to address these and other critical issues. And as a first step, both organizations will put together a landscape document of Standards Developing Organizations (SDOs) and a list of available Standards for each one, to assess what Standards already exists, which ones are applicable to both the MEMS/sensor and semiconductor industries, and then identify gaps and opportunities for new, industry-wide solutions. This is an exciting time. Become part of this activity and help shape the future!

For more information, please contact Bettina Weiss at [email protected]. Upcoming MEMS events include: SEMI European MEMS Summit and MEMS Executive Congress US 2015

MEMS Industry Group (MIG) will gather the world’s leading providers of micro-electromechanical systems (MEMS) and sensors technology for its second annual MEMS Industry Group Conference Asia in Shanghai, China on September 8-11, 2015. Held in partnership with Shanghai Institute of Microsystem and Information Technology (SIMIT) and Shanghai Industrial Technology Research(SITRI), this four-day event blends a two-day conference focused on the challenges and opportunities for MEMS and sensors in the Internet of Things (IoT) with exclusive tours of top R&D labs and commercial companies.

“MEMS Industry Group Conference Asia merges real-world exploration with a conference and networking event to give attendees a rare inside view of MEMS/sensors innovation engines in China,” said Karen Lightman, executive director, MEMS Industry Group. “From our tours of Nanopolis and SITRI Innovation Centers to interactive salon sessions with commercial industry and R&D — as well as presentations from the world’s most successful global suppliers of MEMS/sensors — conference attendees will engage with startup companies, researchers and multinational companies to learn firsthand about MEMS/sensors in Asia. Attendees will also gain valuable insight into the skyrocketing importance of MEMS/sensors in the IoT.”

Pre-conference Tour of Nanopolis

MIG will host a pre-conference tour of Nanopolis, called “the world’s largest hub of nanotech innovation and commercialization,” on September 8, 2015. Pre-conference attendees will visit Nanopolis-based MEMS and sensors companies: China Wafer Level CSP Co., Ltd. (WLCSP), the MEMS fab at MEMSRIGHT and SINANO laboratory (Suzhou Institute of Nano-tech and Nano-bionics).

The conference agenda features:

o   Moderator: George Hsu, chairman of the board, PNI Sensor

o   Panelist: David Allan, president, Virtuix

o   Panelist: Xianfeng (Sean) Ding, director of sensing – chief scientist, Huawei

o   Panelist: Andrew Kung, general manager, Colt Advance International Limited

o   Panelist: Gary Yao, advanced technology manager, HTC America

o   Moderator: Doug Sparks, executive vice president, Hanking Electronics

o   Panelist: George Liu, director, TSMC

o   Panelist: Ian Wright, marketing director, SPTS

o   Panelist: Zheng Yuan, vice president and general manager of the 200mm Equipment Product Group, Applied Materials

MIG Conference Asia also features a Dinner Cruise on September 10 with MIG, SITRI and SIMIT aboard the yacht, The Happy Captain.

Chinese Innovation Experience

On September 11, conference attendees will take an “innovation tour” of the SITRI fab and Shangahi Simgui Technology Co., Ltd as well as other SITRI facilities, including the IoT Innovation Center, QST and SITRI labs. Attendees will visit the InnoSpring Innovation Center, where they will experience demos from SITRI IoT Systems Group and SITRI Executive Information System (EIS) R-CAD.

They will also participate in salon sessions with industry/academia to discuss trends in China spanning agriculture, environment, 3D printing, automotive electronics, monitoring cameras and electronic tags.

About MEMS Industry Group Conference Asia

MEMS Industry Group Conference Asia attracts product managers, business development professionals, and product/engineering managers from the MEMS and sensors supply chain including: integrators, device manufacturers, foundries, equipment and material suppliers, researchers, developers and end-users. The majority of the audience is from Asia, with additional attendees from Europe and North America representing multinational corporations.