Category Archives: Packaging and Testing

IRT Nanoelec, an R&D consortium focused on ICT using micro- and nanoelectronics, and CMP, which provides prototyping and low-volume production of ICs and MEMS, are launching a platform for multi-project-wafer, post-process 3D integration (3D-MPW).

The new and disruptive 3D configurations and assemblies created by this IRT Nanoelec/CMP initiative are designed to promote 3D integration.

This service, the first of its kind, extends CMP’s regular MPW offer by using mature 3D post-process technologies at wafer level from IRT Nanoelec. These technologies include through-silicon-vias (TSV, via last), fine-pitch vertical interconnects (micro pillar with solder) and specific finishing for 3D integration like under-bump metallurgy (UBM). These 3D modules will enable a wide panel of new, full 3D architectures, like multiple-die stacking with flip-chip, side-by-side heterogeneous integration, and 3D partitioning of different CMOS dies issued from CMP runs.

3D integration is highly complementary to traditional CMOS scaling, and has very strong potential in terms of size reduction, heterogeneous integration, miniaturization, performance improvements and, possibly, reduction of costs at the system level. The technology is now emerging in more and more applications, such as FPGA, 3D memories and MEMS, and involves wafer-level processing on dedicated runs.

The new platform provides for the first time access to post-process 3D technologies after regular CMOS MPW runs, for proof of concept, prototypes and/or small series production. This enables a large group of users to take advantage of cost division made possible, at silicon level, by the MPW regular services followed by post-process technologies. In addition, it allows 3D-MPW users to divide the cost of post processing. This benefits a large group of customers, such as universities, SMEs, research institutes and systems integrators, that usually do not have access to the 3D modules at large foundries.

These 3D post-process technologies require very limited re-design of existing chips, and will be initially used for specific CMOS nodes available at CMP. They may be extended in the future, depending on demand. CMP is responsible for supporting, checking and compiling the customer’s requests, while IRT Nanoelec, which has a very strong background in 3D integration – in particular through the institute CEA-Leti – will manage the 3D post-processing.

Total semiconductor unit shipments (integrated circuits and opto-sensor-discrete, or O-S-D, devices) are forecast to continue their upward march through the current cyclical period and top one trillion units for the first time in 2017, according to IC Insights’ forecast presented in the 2015 edition of The McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry. Semiconductor shipments in excess of one trillion units are forecast to be the new normal beginning in 2017.

Figure 1 shows that semiconductor unit shipments are forecast to increase to 1,024.5 billion devices in 2017 from 32.6 billion in 1978, which amounts to average annual growth of 9.2 percent over the 39 year period and demonstrates how increasingly dependent the world is on semiconductors. From 2009 to 2014, the average annual growth rate of semiconductor units was 7.6 percent—somewhat slower than the long-term growth rate—due to global economic uncertainties through that five-year period. Stronger 8.2 percent annual growth is forecast from 2014 to 2019 as momentum strengthens for electronic systems.

semi units

The strongest annual increase in semiconductor unit growth over the time span shown in Figure 1 was 34 percent in 1984; the biggest decline was 19 percent in 2001 following the dot-com bust. Semiconductor unit shipments first topped the 100-billion mark in 1987, exceeded 500-billion units for the first time in 2006 and then surpassed 600-billion units in 2007 before the global financial meltdown and recession caused semiconductor shipments to fall in 2008 and 2009, the only time the industry has experienced a back-to-back decline in unit shipments. Semiconductor unit growth then surged 25 percent in 2010, the second-highest growth rate since 1978. IC Insights forecasts semiconductor unit growth of 10.0 percent in 2015 and 11.0 percent in 2016. The semiconductor unit growth rate is forecast to fall to only 3.4 percent in 2017, enough to push annual shipments beyond one trillion devices for the first time.

Interestingly, the percentage split of IC and O-S-D devices within total semiconductor units has remained fairly steady despite advances in integrated circuit technology and the blending of functions to reduce chip count within systems. In 1978, O-S-D devices accounted for 79 percent of semiconductor units and ICs represented 21 percent. Almost 40 years later in 2017, O-S-D devices are forecast to account for 74 percent of total semiconductor units, compared to 26 percent for ICs (Figure 2).

semi units 2

Further details on IC, O-S-D, and total semiconductor unit and market trends are provided in the 2015 edition of IC Insights’ flagship report, The McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry.

Today, at the 2015 International Solid State Circuits Conference (ISSCC), imec and Panasonic presented a transceiver chip for phase-modulated continuous-wave radar at 79GHz. This achievement demonstrates the potential of downscaled CMOS for cheap millimeter-wave (mm-wave) radar systems that can be used for accurate presence and motion detection.

Mm-wave radar technology is used in advanced driver assistance systems (ADAS) to improve safety in blurry conditions such as dust, fog and darkness, where image-based driver assistance systems lack robustness. It also offers longer range, higher precision and invisible mounting capabilities compared to ultrasound sensors. Imec’s 79GHz radar solution is based on advanced (28nm) CMOS technology, and it is an attractive alternative to the current SiGe-based technology as it offers a path to a low-power, compact and integrated solution. Moreover, at the expected high manufacturing volumes, CMOS technology is intrinsically low-cost.

Imec’s and Panasonic’s transceiver chip contains a control loop to suppress the spillover from the transmitter into the receiver without affecting the RF performance. With a power consumption of 260mW, the output power of the transmitter is 11dBm, while the RX gain is 35dB with a noise figure below 7dB and a TX-to-RX spillover suppression of 15dB. Thanks to the wide modulation bandwidth, the achievable depth resolution is 7.5cm.

“We are pleased with these excellent performance results on 28nm CMOS technology, and excited about the new opportunities they present for mm-wave radar systems, not only for automotive radar, but also for other applications such as smart homes, unmanned aerial vehicles (UAVs), robotics and others.” stated Wim Van Thillo, program director Perceptive Systems for the Internet of Things at imec. “This transceiver chip is an important milestone we have realized in our pursuit of a complete high-performance radar system fully integrated onto a single chip.”

Interested companies have access to imec’s CMOS-based 79GHz radar technology by joining imec’s industrial affiliation program or through IP licensing.

MEMS Industry Group (MIG) is bringing its popular MEMS & Sensors Technology Showcase to MEMS Executive Congress Europe for the first time. Selected from a pool of applicants, finalist companies will demo their MEMS/sensors-based applications as they vie for attendees’ votes.

“MEMS & Sensors Technology Showcase is unique in the MEMS/sensors industry, and that’s why it’s always been a crowd pleaser at the US version of this event,” said Karen Lightman, executive director, MEMS Industry Group. “Finalists include a wide array of products that demonstrate the enabling power of MEMS and sensors: portable odor detectors, touch-free vital signs’ systems, and gas/alcohol-detection monitors that work with mobile phones as well as non-invasive oxygen readers and motion-based energy harvesters. I am excited to see which company our audience crowns as winner.”

This year’s finalists include:

1

NeOse by Aryballe Technologies — Based on the combination of nano, biotech, IT and cognitive sciences, Aryballe develops innovative technologies, databases, software and devices applied to the identification, measurement and representation of smells and tastes.

The company’s main product, NeOse, will be launched in 2016 and should be the first universal portable odor detector (e-nose) on the market. As a personal device that connects to smartphones and databases, NeOse is able to recognize a wide spectrum of different odors.

2

The Touch-Free Life Care (TLC) System by BAM Labs – The TLC System frees patients from the encumbrance of wired medical monitoring devices. This touch-free digital health solution uses Freescale’s MPXV2010 pressure sensor, MCU and applications processor to provide comprehensive hardware support for data collection, networking and communications for non-intrusive health monitoring.

The TLC System tracks bio-signals without keeping patients tethered to bedside monitors.

3

MOX Gas Sensors by Cambridge CMOS Sensors – Cambridge CMOS Sensors Metal Oxide (MOX) gas sensors use MEMS Micro-hotplate technology to provide a unique silicon platform for gas sensing, enabling sensor miniaturization, low power consumption and ultra-fast response times.

For the MEMS & Sensors Technology Showcase, Cambridge CMOS Sensors will present a MOX sensor module connected to a mobile device, demonstrating superior gas detection for indoor air quality (IAQ) and Volatile Organic Compounds (VOCs). The company will also show how its MOX sensor module supports alcohol detection via breath analysis.

4

The Demox Reader by CSEM (Swiss Centre for Electronics and Microtechnology) —

Originally developed to monitor oxygen in real time in cell and tissue cultures, the Demox reader is a versatile device that enables oxygen measurements for many different applications. CSEM will demo a new Demox reader that can be used to assess air and water quality as well as to support process control of food and beverages. This compact device can be mounted on commercial microscopes that are regularly used to investigate living biological materials.

The Demox optical reader allows the rapid, efficient and non-invasive measurements of oxygen concentration in a wide range of materials.

5

EnerBee Rv2 by EnerBee — EnerBee technology is based on research developed to produce MEMS electric generators that create electricity from all kinds of movement. This includes motion at very low speeds where traditional generators become unusable.

Enerbee Rv2 is a highly efficient motion-based energy harvester that produces electricity independently from motion speed, and powers low-power devices in Internet of Things applications such as building automation, access control and smart objects.

MEMS & Sensors Technology Showcase takes place 9 March, 2015, 16:00-17:30 at Crowne Plaza Copenhagen Towers, Copenhagen, Denmark.

MIG Executive Director Karen Lightman will announce the winner during her closing remarks, 10 March, 2015 at 17:15.

GLOBALFOUNDRIES, a provider of advanced semiconductor manufacturing technology, today announced a partnership with imec, a nanoelectronics research center, for joint research on future radio architectures and designs for highly integrated mobile devices and IoT applications.

A key challenge for next-generation mobile devices is controlling the cost and footprint of the radio and antenna interface circuitry, which contain all of the components that process a cellular signal across the various supported frequency bands. Today, a typical mobile device must support up to 28 bands for worldwide 2G, 3G, 4G, LTE network connectivity, and more complex carrier aggregation schemes and additional frequency bands are expected for future generations. These challenges are driving the need for an agile radio that integrates many of the separate components into one piece of silicon, including power amplifiers, antenna switches, and tuners and provides a solution which is both flexible and low cost.

GLOBALFOUNDRIES will closely collaborate with technical experts from imec to investigate low-power and compact high-performance agile radio solutions that will enable a broad range of radio architecture design–targeting improvements in area, performance and power consumption. GLOBALFOUNDRIES will also partner with imec to develop innovative ultra-low power IC design solutions leveraging GLOBALFOUNDRIES’ CMOS technology to address the demanding requirements of tomorrow’s IoT devices. Ultimately, the partnership aims to build a technology and design infrastructure that will enable future RF architectures while minimizing critical interface requirements for radio power consumption and performance.

“This collaboration expands our relationship with imec, and we’re eager to leverage their R&D expertise in RF technology to accelerate time-to-volume of designs and deliver leading-edge RF technology to our customers,” said Peter Rabbeni, director RF Segment Marketing at GLOBALFOUNDRIES. “This relationship further reflects our commitment to find RF design implementations that will efficiently extend the range of wireless communication applications without increasing the form factor or cost.”

“There are advanced chip technology challenges the industry needs to address to enable a higher level of integration and lower power consumption for future wireless communication,” said Harmke de Groot, senior director Perceptive Systems for the Internet of Things. “Imec is pleased to welcome GLOBALFOUNDRIES as a partner in ultra-low power wireless design. Leveraging imec’s advanced IC technology knowhow and system design experience, and GLOBALFOUNDRIES’ CMOS technology, we will accelerate the investigation and develop new approaches.”

At next week’s SPIE Photonics West 2015, imec will present a new set of snapshot hyperspectral CMOS image sensors featuring spectral filter structures in a mosaic layout, processed per-pixel on 4×4 and 5×5 ‘Bayer-like’ arrays.

Imec’s hyperspectral filter structures are processed at wafer-level on commercially available CMOS image sensor wafers, enabling extremely compact, low cost and mass-producible hyperspectral imaging solutions. This paves the way to multiple applications ranging from machine vision, medical imaging, precision agriculture to higher volume industries such as security, automotive and consumer electronic devices.

“Imec’s latest achievements in hyperspectral imaging emphasize how our promising technology has become an industrially viable solution for a number of applications,” said Andy Lambrechts, program manager at imec. “The new mosaic architecture, and extended spectral range, brings unique advantages compared to our previously announced hyperspectral linescan sensors for applications in which scanning would not be practical. It enables spectral imaging in a truly compact, tiny form-factor, that can even be scaled to handheld devices. From the technology standpoint, we have now successfully demonstrated linescan and tiled sensors, in which spectral filters cover many pixels, to mosaic sensors, in which filters vary from pixel to pixel. At the same time, the spectral range is extended and now covers down to 470nm.”

The newly developed mosaic sensors feature one spectral filter per pixel, arranged in mosaics of 4×4 (16 spectral bands) or 5×5 (25 spectral bands) deposited onto a full array of 2 Million pixels 5.5µm size CMOSIS CMV2000 sensor. Two versions of the mosaic hyperspectral image sensors have been developed:

  • one 4×4 mosaic with 16 bands in the 470-630nm (visible range)
  • one 5×5 mosaic with 25 bands in the 600-1000nm range (Visible – NIR range)

“Imec’s hyperspectral imaging sensors (100bands linescan, 32bands tiled and 16/25bands mosaic designs) are off-the-shelf, commercially available engineering sample sensors that we developed to address the fragmented machine vision market and to trigger interest for this unique technology from potential end-users in other industries,” explained Jerome Baron, business development manager at imec. “We also offer customized spectral filtering solutions for companies that are already familiar with the technology and interested in developing proprietary solutions with a specific performance in terms of speed, compactness, spatial versus spectral resolution, bands selection, or cost.”

Located at booth 4635 at SPIE Photonics West, imec will demonstrate the 3 different versions of these hyperspectral image sensors. First engineering samples have been manufactured and now available for evaluation to early partners.

Researchers at aBeam Technologies, Lawrence Berkeley National Laboratory and Argonne National Laboratory have developed a technology to fabricate test patterns with a minimum linewidth down to 1.5nm. The fabricated nanostructures are used to test metrological equipment. The designed patterns involve thousands of lines with precisely designed linewidths; these lines are combined in such a way that the distribution of linewidths appears to be random at any location. This pseudo- random test pattern allows nanometrological systems to be characterized over their entire dynamic range.

lawrence berk micro2 lawrence berk micro1

TEM images of the test pattern with linewidths down to 1.5nm. The width of the lines was designed to form a pseudo-random test pattern; the pattern is used to characterize metrological instrumentation. The scale bar on the top image is 50nm. 

The test pattern contains alternating lines of silicon and silicon-tungsten, this results in a pretty good contrast in the metrological systems. The size of the sample is fairly large, apprx. 6×6 microns, and involves thousands of lines, each according to its designed width. Earlier, aBeam and LBNL reported the capability of fabricating 4nm lines and spaces using e-beam lithography, atomic layer deposition, and nanoimprint.

Dr. Sergey Babin, president of aBeam Technologies said, “The semiconductor industry is moving toward a half-pitch of 11nm and 7nm. Therefore, metrology equipment should be very accurate, at least one order of magnitude more accurate than that. The characterization of metrology systems requires test patterns at a scale one order smaller than the measured features. The fabrication was a challenge, especially for such a complex pattern as a pseudo-random design, but we succeeded.”

Dr. Valeriy Yashchuk, a researcher at the Advanced Light Source of LBNL continued: “When you measure anything, you have to be sure that your metrological system produces accurate results, otherwise what kind of results will you get, nobody knows. Qualifying and tuning metrology systems at the nanoscale is not easy. We designed the test pattern that is capable of characterizing nano-metrology systems over their entire dynamic range, resulting in the modulation transfer function, the most comprehensive characteristic of any system.”

The test pattern is to be used to characterize almost any nano-metrology system. Experiments were performed using a scanning electron microscope (SEM), atomic force microscope (AFM), and soft x-ray microscopes. A part of an ideal test-sample and its SEM microscopy image is shown below. The image includes imperfection in the microscope and needs to be characterized.

The power spectral density of the sample is flat; the spectra of the image has a significant cut-off at high frequencies; this is used to characterize the microscope over its dynamic range and show the degradation of the microscope’s sensitivity as soon as the linewidth becomes smaller.

This project was led by Dr. Sergey Babin, president of aBeam Technologies, Dr. Valeriy Yashchuk of Lawrence Berkeley National Laboratory and Dr. Ray Conley of Argonne National Laboratory. This work was supported by the Department of Energy under the contract #DE-SC0011352 in the framework of a STTR project.

Leading industry experts provide their perspectives on what to expect in 2015. 3D devices and 3D integration, rising process complexity and “big data” are among the hot topics.

Entering the 3D era

Ghanayem_SSteve Ghanayem, vice president, general manager, Transistor and Interconnect Group, Applied Materials

This year, the semiconductor industry celebrates the 50th anniversary of Moore’s Law. We are at the onset of the 3D era. We expect to see broad adoption of 3D FinFETs in logic and foundry. Investments in 3D NAND manufacturing are expanding as this technology takes hold. This historic 3D transformation impacting both logic and memory devices underscores the aggressive pace of technology innovation in the age of mobility. The benefits of going 3D — lower power consumption, increased processing performance, denser storage capacity and smaller form factors — are essential for the industry to enable new mobility, connectivity and Internet of Things applications.

The semiconductor equipment industry plays a major role in enabling this 3D transformation through new materials, capabilities and processes. Fabricating leading-edge 3D FinFET and NAND devices adds complexity in chip manufacturing that has soared with each node transition. The 3D structure poses unique challenges for deposition, etch, planarization, materials modification and selective processes to create a yielding device, requiring significant innovations in critical dimension control, structural integrity and interface preparation. As chips get smaller and more complex, variations accumulate while process tolerances shrink, eroding performance and yields. Chipmakers need cost-effective solutions to rapidly ramp device yield to maintain the cadence of Moore’s Law. Given these challenges, 2015 will be the year when precision materials engineering technologies are put to the test to demonstrate high-volume manufacturing capabilities for 3D devices.

Achieving excellent device performance and yield for 3D devices demands equipment engineering expertise leveraging decades of knowledge to deliver the optimal system architecture with wide process window. Process technology innovation and new materials with atomic-scale precision are vital for transistor, interconnect and patterning applications. For instance, transistor fabrication requires precise control of fin width, limiting variation from etching to lithography. Contact formation requires precision metal film deposition and atomic-level interface control, critical to lowering contact resistance. In interconnect, new materials such as cobalt are needed to improve gap fill and reliability of narrow lines as density increases with each technology node. Looking forward, these precision materials engineering technologies will be the foundation for continued materials-enabled scaling for many years to come.

Increasing process complexity and opportunities for innovation

trafasBrian Trafas, Chief Marketing Officer, KLA-Tencor Corporation

The 2014 calendar year started with promise and optimism for the semiconductor industry, and it concluded with similar sentiments. While the concern of financial risk and industry consolidation interjects itself at times to overshadow the industry, there is much to be positive about as we arrive in the new year. From increases in equipment spending and revenue in the materials market, to record level silicon wafer shipments projections, 2015 forecasts all point in the right direction. Industry players are also doing their part to address new challenges, creating strategies to overcome complexities associated with innovative techniques, such as multipatterning and 3D architectures.

The semiconductor industry continues to explore new technologies, including 3DIC, TSV, and FinFETs, which carry challenges that also happen to represent opportunities. First, for memory as well as foundry logic, the need for multipatterning to extend lithography is a key focus. We’re seeing some of the value of a traditional lithography tool shifting into some of the non-litho processing steps. As such, customers need to monitor litho and non-litho sources of error and critical defects to be able to yield successfully at next generation nodes.  To enable successful yields with decreasing patterning process windows, it is essential to address all sources of error to provide feed forward and feed backward correctly.

The transition from 2D to 3D in memory and logic is another focus area.  3D leads to tighter process margins because of the added steps and complexity.  Addressing specific yield issues associated with 3D is a great opportunity for companies that can provide value in addressing the challenges customers are facing with these unique architectures.

The wearable, intelligent mobile and IoT markets are continuing to grow rapidly and bring new opportunities. We expect the IoT will drive higher levels of semiconductor content and contribute to future growth in the industry. The demand for these types of devices will add to the entire value chain including semiconductor devices but also software and services.  The semiconductor content in these devices can provide growth opportunities for microcontrollers and embedded processors as well sensing semiconductor devices.

Critical to our industry’s success is tight collaboration among peers and with customers. With such complexity to the market and IC technology, it is very important to work together to understand challenges and identify where there are opportunities to provide value to customers, ultimately helping them to make the right investments and meet their ramps.

Controlling manufacturing variability key to success at 10nm

Rick_Gottscho_Lam_ResearchRichard Gottscho, Ph.D., Executive Vice President, Global Products, Lam Research Corporation 

This year, the semiconductor industry should see the emergence of chip-making at the 10nm technology node. When building devices with geometries this small, controlling manufacturing process variability is essential and most challenging since variation tolerance scales with device dimensions.

Controlling variability has always been important for improving yield and device performance. With every advance in technology and change in design rule, tighter process controls are needed to achieve these benefits. At the 22/20nm technology node, for instance, variation tolerance for CDs (critical dimensions) can be as small as one nanometer, or about 14 atomic layers; for the 10nm node, it can be less than 0.5nm, or just 3 – 4 atomic layers. Innovations that drive continuous scaling to sub-20nm nodes, such as 3D FinFET devices and double/quadruple patterning schemes, add to the challenge of reducing variability. For example, multiple patterning processes require more stringent control of each step because additional process steps are needed to create the initial mask:  more steps mean more variability overall. Multiple patterning puts greater constraints not only on lithography, but also on deposition and etching.

Three types of process variation must be addressed:  within each die or integrated circuit at an atomic level, from die to die (across the wafer), and from wafer to wafer (within a lot, lot to lot, chamber to chamber, and fab to fab). At the device level, controlling CD variation to within a few atoms will increasingly require the application of technologies such as atomic layer deposition (ALD) and atomic layer etching (ALE). Historically, some of these processes were deemed too slow for commercial production. Fortunately, we now have cost-effective solutions, and they are finding their way into volume manufacturing.

To complement these capabilities, advanced process control (APC) will be incorporated into systems to tune chemical and electrical gradients across the wafer, further reducing die-to-die variation. In addition, chamber matching has never been more important. Big data analytics and subsystem diagnostics are being developed and deployed to ensure that every system in a fab produces wafers with the same process results to atomic precision.

Looking ahead, we expect these new capabilities for advanced variability control to move into production environments sometime this year, enabling 10nm-node device fabrication.

2015: The year 3D-IC integration finally comes of age

SONY DSCPaul Lindner, Executive Technology Director, EV Group

2015 will mark an important turning point in the course of 3D-IC technology adoption, as the semiconductor industry moves 3D-IC fully out of development and prototyping stages onto the production floor. In several applications, this transition is already taking place. To date, at least a dozen components in a typical smart phone employing 3D-IC manufacturing technologies. While the application processor and memory in these smart devices continue to be stacked at a package level (POP), many other device components—including image sensors, MEMS, RF front end and filter devices—are now realizing the promise of 3D-IC, namely reduced form factor, increased performance and most importantly reduced manufacturing cost.

The increasing adoption of wearable mobile consumer products will also accelerate the need for higher density integration and reduced form factor, particularly with respect to MEMS devices. More functionality will be integrated both within the same device as well as within one package via 3D stacking. Nine-axis international measurement units (IMUs, which comprise three accelerometers, three gyroscopes and three magnetic axes) will see reductions in size, cost, power consumption and ease of integration.

On the other side of the data stream at data centers, expect to see new developments around 3D-IC technology coming to market in 2015 as well. Compound semiconductors integrated with photonics and CMOS will trigger the replacement of copper wiring with optical fibers to drive down power consumption and electricity costs, thanks to 3D stacking technologies. The recent introduction of stacked DRAM with high-performance microprocessors, such as Intel’s Knights Landing processor, already demonstrate how 3D-IC technology is finally delivering on its promises across many different applications.

Across these various applications that are integrating stacked 3D-IC architectures, wafer bonding will play a key role. This is true for 3D-ICs integrating through silicon vias (TSVs), where temporary bonding in the manufacturing flow or permanent bonding at the wafer-level is essential. It’s the case for reducing power consumption in wearable products integrating MEMS devices, where encapsulating higher vacuum levels will enable low-power operation of gyroscopes. Finally, wafer-level hybrid fusion bonding—a technology that permanently connects wafers both mechanically and electrically in a single process step and supports the development of thinner devices by eliminating adhesive thickness and the need for bumps and pillars—is one of the promising new processes that we expect to see utilized in device manufacturing starting in 2015.

2015: Curvilinear Shapes Are Coming

Aki_Fujimura_D2S_midresAki Fujimura, CEO, D2S

For the semiconductor industry, 2015 will be the start of one of the most interesting periods in the history of Moore’s Law. For the first time in two decades, the fundamental machine architecture of the mask writer is going to change over the next few years—from Variable Shaped Beam (VSB) to multi-beam. Multi-beam mask writing is likely the final frontier—the technology that will take us to the end of the Moore’s Law era. The write times associated with multi-beam writers are constant regardless of the complexity of the mask patterns, and this changes everything. It will open up a new world of opportunities for complex mask making that make trade-offs between design rules, mask/wafer yields and mask write-times a thing of the past. The upstream effects of this may yet be underappreciated.

While high-volume production of multi-beam mask writing machines may not arrive in time for the 10nm node, the industry is expressing little doubt of its arrival by the 7nm node. Since transitions of this magnitude take several years to successfully permeate through the ecosystem, 2015 is the right time to start preparing for the impact of this change.  Multi-beam mask writing enables the creation of very complex mask shapes (even ideal curvilinear shapes). When used in conjunction with optical proximity correction (OPC), inverse lithography technology (ILT) and pixelated masks, this enables more precise wafer writing with improved process margin.  Improving process margin on both the mask and wafer will allow design rules to be tighter, which will re-activate the transistor-density benefit of Moore’s Law.

The prospect of multi-beam mask writing makes it clear that OPC needs to yield better wafer quality by taking advantage of complex mask shapes. This clear direction for the future and the need for more process margin and overlay accuracy at the 10nm node aligns to require complex mask shapes at 10nm. Technologies such as model-based mask data preparation (MB-MDP) will take center stage in 2015 as a bridge to 10nm using VSB mask writing.

Whether for VSB mask writing or for multi-beam mask writing, the shapes we need to write on masks are increasingly complex, increasingly curvilinear, and smaller in minimum width and space. The overwhelming trend in mask data preparation is the shift from deterministic, rule-based, geometric, context-independent, shape-modulated, rectangular processing to statistical, simulation-based, context-dependent, dose- and shape-modulated, any-shape processing. We will all be witnesses to the start of this fundamental change as 2015 unfolds. It will be a very exciting time indeed.

Data integration and advanced packaging driving growth in 2015

mike_plisinski_hiMike Plisinski, Chief Operating Officer, Rudolph Technologies, Inc.

We see two important trends that we expect to have major impact in 2015. The first is a continuing investment in developing and implementing 3D integration and advanced packaging processes, driven not only by the demand for more power and functionality in smaller volumes, but also by the dramatic escalation in the number and density I/O lines per die. This includes not only through silicon vias, but also copper pillar bumps, fan-out packaging, hyper-efficient panel-based packaging processes that use dedicated lithography system on rectangular substrates. As the back end adopts and adapts processes from the front end, the lines that have traditionally separated these areas are blurring. Advanced packaging processes require significantly more inspection and control than conventional packaging and this trend is still only in its early stages.

The other trend has a broader impact on the market as a whole. As consumer electronics becomes a more predominant driver of our industry, manufacturers are under increasing pressure to ramp new products faster and at higher volumes than ever before. Winning or losing an order from a mega cell phone manufacturer can make or break a year, and those orders are being won based on technology and quality, not only price as in the past. This is forcing manufacturers to look for more comprehensive solutions to their process challenges. Instead of buying a tool that meets certain criteria of their established infrastructure, then getting IT to connect it and interpret the data and write the charts and reports for the process engineers so they can use the tool, manufacturers are now pushing much of this onto their vendors, saying, “We want you to provide a working tool that’s going to meet these specs right away and provide us the information we need to adjust and control our process going forward.” They want information, not just data.

Rudolph has made, and will continue to make, major investments in the development of automated analytics for process data. Now more than ever, when our customer buys a system from us, whatever its application – lithography, metrology, inspection or something new, they also want to correlate the data it generates with data from other tools across the process in order to provide more information about process adjustments. We expect these same customer demands to drive a new wave of collaboration among vendors, and we welcome the opportunity to work together to provide more comprehensive solutions for the benefit of our mutual customers.

Process Data – From Famine to Feast

Jack Hager Head ShotJack Hager, Product Marketing Manager, FEI

As shrinking device sizes have forced manufacturers to move from SEM to TEM for analysis and measurement of critical features, process and integration engineers have often found themselves having to make critical decisions using meagre rations of process data. Recent advances in automated TEM sample preparation, using FIBs to prepare high quality, ultra-thin site-specific samples, have opened the tap on the flow of data. Engineers can now make statistically-sound decisions in an environment of abundant data. The availability of fast, high-quality TEM data has whet their appetites for even more data, and the resulting demand is drawing sample preparation systems, and in some cases, TEMs, out of remote laboratories and onto the fab floor or in a “near-line” location. With the high degree of automation of both the sample preparation and TEM, the process engineers, who ultimately consume the data, can now own and operate the systems that generate this data, thus having control over the amount of data created.

The proliferation of exotic materials and new 3D architectures at the most advanced nodes has dramatically increased the need for fast, accurate process data. The days when performance improvements required no more than a relatively simple “shrink” of basically 2D designs using well-understood processes are long gone. Complex, new processes require additional monitoring to aide in process control and failure analysis troubleshooting. Defects, both electrical and physical, are not only more numerous, but typically smaller and more varied. These defects are often buried below the exposed surface which limits traditional inline defect-monitoring equipment effectiveness. This has resulted in renewed challenges in diagnosing their root causes. TEM analysis now plays a more prevalent role providing defect insights that allow actionable process changes.

While process technologies have changed radically, market fundamentals have not. First to market still commands premium prices and builds market share. And time to market is determined largely by the speed with which new manufacturing processes can be developed and ramped to high yields at high volumes. It is in these critical phases of development and ramp that the speed and accuracy of automated sample preparation and TEM analysis is proving most valuable. The methodology has already been adopted by leading manufacturers across the industry – logic and memory, IDM and foundry. We expect the adoption to continue, and with it, the migration of sample preparation and advanced measurement and analytical systems into the fab. 

Diversification of processes, materials will drive integration and customization in sub-fab

Kate Wilson PhotoKate Wilson, Global Applications Director, Edwards

We expect the proliferation of new processes, materials and architectures at the most advanced nodes to drive significant changes in the sub fab where we live. In particular, we expect to see a continuing move toward the integration of vacuum pumping and abatement functions, with custom tuning to optimize performance for the increasingly diverse array of applications becoming a requirement. There is an increased requirement for additional features around the core units such as thermal management, heated N2 injection, and precursor treatment pre- and post-pump that also need to be managed.

Integration offers clear advantages, not only in cost savings but also in safety, speed of installation, smaller footprint, consistent implementation of correct components, optimized set-ups and controlled ownership of the process effluents until they are abated reliably to safe levels. The benefits are not always immediately apparent. Just as effective integration is much more than simply adding a pump to an abatement system, the initial cost of an integrated system is more than the cost of the individual components. The cost benefits in a properly integrated system accrue primarily from increased efficiencies and reliability over the life of the system, and the magnitude of the benefit depends on the complexity of the process. In harsh applications, including deposition processes such as CVD, Epi and ALD, integrated systems provide significant improvements in uptime, service intervals and product lifetimes as well as significant safety benefits.

The trend toward increasing process customization impacts the move toward integration through its requirement that the integrator have detailed knowledge of the process and its by-products. Each manufacturer may use a slightly different recipe and a small change in materials or concentrations can have a large effect on pumping and abatement performance. This variability must be addressed not only in the design of the integrated system but also in tuning its operation during initial commissioning and throughout its lifetime to achieve optimal performance. Successful realization of the benefits of integration will rely heavily on continuing support based on broad application knowledge and experience.

Giga-scale challenges will dominate 2015

Dr. Zhihong Liu

Dr. Zhihong Liu, Executive Chairman, ProPlus Design Solutions, Inc.

It wasn’t all that long ago when nano-scale was the term the semiconductor industry used to describe small transistor sizes to indicate technological advancement. Today, with Moore’s Law slowing down at sub-28nm, the term more often heard is giga-scale due to a leap forward in complexity challenges caused in large measure by the massive amounts of big data now part of all chip design.

Nano-scale technological advancement has enabled giga-sized applications for more varieties of technology platforms, including the most popular mobile, IoT and wearable devices. EDA tools must respond to such a trend. On one side, accurately modeling nano-scale devices, including complex physical effects due to small geometry sizes and complicated device structures, has increased in importance and difficulties. Designers now demand more from foundries and have higher standards for PDK and model accuracies. They need to have a deep understanding of the process platform in order to  make their chip or IP competitive.

On the other side, giga-scale designs require accurate tools to handle increasing design size. The small supply voltage associated with technology advancement and low-power applications, and the impact of various process variation effects, have reduced available design margins. Furthermore, the big circuit size has made the design sensitive to small leakage current and small noise margin. Accuracy will soon become the bottleneck for giga-scale designs.

However, traditional design tools for big designs, such as FastSPICE for simulation and verification, mostly trade-off accuracy for capacity and performance. One particular example will be the need for accurate memory design, e.g., large instance memory characterization, or full-chip timing and power verification. Because embedded memory may occupy more than 50 percent of chip die area, it will have a significant impact on chip performance and power. For advanced designs, power or timing characterization and verification require much higher accuracy than what FastSPICE can offer –– 5 percent or less errors compared to golden SPICE.

To meet the giga-scale challenges outlined above, the next-generation circuit simulator must offer the high accuracy of a traditional SPICE simulator, and have similar capacity and performance advantages of a FastSPICE simulator. New entrants into the giga-scale SPICE simulation market readily handle the latest process technologies, such as 16/14nm FinFET, which adds further challenges to capacity and accuracy.

One giga-scale SPICE simulator can cover small and large block simulations, characterization, or full-chip verifications, with a pure SPICE engine that guarantees accuracy, and eliminates inconsistencies in the traditional design flow.  It can be used as the golden reference for FastSPICE applications, or directly replace FastSPICE for memory designs.

The giga-scale era in chip design is here and giga-scale SPICE simulators are commercially available to meet the need.

SEMI today announced the launch of the European MEMS Summit, to be held on 17-18 September 2015 in Milan, Italy.  With a 13 percent CAGR over the period of 2013-2019 (predicted by Yole Developpement), and the expected growth from the Internet of Things (IoT), the MEMS market has taken on a new importance — making collaboration around new business and technologies critically important.

The European MEMS Summit will address MEMS technologies, manufacturing, applications and time-to-growth. Over the course of the two-day event, more than 20 keynote and invited speakers from the entire supply chain will share their perspectives and latest updates, including participation by European MEMS leaders. Fabless, foundries, IDMs, OSATs, equipment and materials companies will deliver talks on MEMS and sensors. In addition, a focused industry exhibition will complement the conferences offering with additional networking opportunities.

Industry issues to be discussed at the conference:

  • Market drivers for MEMS applications and technology
  • Impact and opportunities arising from IoT and sensor-centric applications
  • Innovations in technology including new detection principles
  • Managing quick ramp up and product changeover — challenges for the supply chain
  • Standardization as a driver for cost reduction?
  • Trends relative to fabless and foundries business model

These issues are increasingly critical to maintaining MEMS growth and leading executives support this new SEMI event. “Bosch highly welcomes this new SEMI event in Europe since European manufacturers play a leading role in this industry,” says Stefan Finkbeiner, CEO of Bosch Sensortec.  Benedetto Vigna, executive VP and general manager of the Analog, MEMS and Sensors Group at STMicroelectronics, states that “With a significant part of MEMS success centered near Milan, the city offers the perfect backdrop for this event highlighting the technology’s magnificence and exceptional value.”

Conference speakers will address innovation in technology, with presentations covering new detection principles, new technologies, increased importance of software, as well as new types of MEMS devices. Challenges in the supply chain and the need for a more efficient MEMS-based ecosystem will also be explored during the Summit. Speakers will examine the potential evolution of MEMS fabless and foundries and the need for deeper collaboration between partners.

Business topics will cover macro market trends and feature specific talks on MEMS business challenges, possible industry consolidation and the expected advantages of standardization. Applications of MEMS in different segments including consumer, automotive, industrial, healthcare, and wearable will be discussed. IoT, the sensor-centric phenomenon, will present significant opportunities for MEMS.

The conference program is developed by a steering committee composed of MEMS industry professionals including ASE, Bosch Sensortec, CEA-Leti, EV Group, Fraunhofer ENAS, Fraunhofer IZM, Freescale Semiconductor, IHS, Okmetic, Sencio, SPTS, STMicroelectronics, SUSS MicroTec, X-Fab and Yole Developpement.

Please visit www.semi.org/europeanMEMSSummit for more information and to register for the European MEMS Summit email list, with important updates about the event. For more information on SEMI, visit www.semi.org.

SEMI Europe will ring in the New Year by holding the first major, international 3D TSV event of 2015. On January 19-21, members of the 3D TSV industry will convene in Grenoble, France for the 3rd edition of the European 3D TSV Summit. This year’s theme: Enabling Smarter Systems.

The European 3D TSV Summit’s 2015 conference will feature Keynote and Invited Speakers, a Market Briefing and a Panel Discussion. The Panel Discussion, moderated by Jean-Christophe Eloy, CEO and founder of Yole Développement, is entitled “From TSV Technology to Final Products – What Business for 3D Smart Systems ?” Panelists from AMKOR, Qualcomm, ams AG and AMD, will share their viewpoints on the 3D TSV market and the shift that many companies are beginning to make from 3D TSV technology development to the commercialization phase. Attendees can expect a lively discussion about the next big steps for the 3D-IC market.

The conference will be accompanied by a sold-out industry exhibition, featuring over 25 important industry players. Conference attendees will be invited to visit the exhibition during coffee and lunch breaks. In addition, the event will offer numerous opportunities for networking including a gala dinner and a one-on-one meeting service with dedicated private meeting spaces. With more than 125 companies planning to be present (including GlobalFoundries, STMicroelectronics, HP, Microsoft, AMD, Qualcomm, IBM, Infineon, AMKOR, ASE, NANIUM, Silex, XFAB, EVGroup, SPTS, and more…) the event promises to be a ripe ground for important professional meetings.

For more information about registration for the European 3D TSV Summit 2015 visit the event’s website:  www.semi.org/European3DTSVSummit. For more information about the remaining sponsorship opportunities, contact Jérôme Boutant: [email protected]