Category Archives: Packaging and Testing

Six companies selected from a pool of applicants will compete in MEMS Industry Group‘s (MIG)’s MEMS & Sensors Technology Showcase at MEMS Executive Congress.

This year’s finalists include:

Babolat’s Babolat PLAY Pure Drive is the first connected tennis racket. It allows every player to live a unique experience based on progression, fun and sharing. Players can get information about their game and analyze it, follow their progress, and even get an overview of their game with “Pulse” — a dynamic interface that changes according to the power, the technique and the endurance of a player’s game. Babolat PLAY’s gaming features help players stay motivated by allowing them to advance and unlock new levels. Tennis has become even more interactive, challenging and enjoyable!

Brewer Science’s TH1022 is an ultrafast temperature and humidity sensor that provides virtually instantaneous detection and offers a discreet, fully integrated, adaptable, and ultralow-power solution critical to the performance of today’s connected systems. Such systems may include wireless environmental monitoring systems and other applications for which long battery life is essential.

KegData developed an innovative beer monitoring solution using the principles of fluid dynamics. Beer is drawn off of a keg through KegData’s patented coupler. At the heart of the system is Freescale’s pressure sensor, which measures the differential pressure between beer and a propellant (CO2). All data is wirelessly transmitted to a central hub. This provides keg purveyors a more efficient way to manage inventory and prevent product waste.

mCube’s iGyro™ is the industry’s first software-based gyroscope optimized for Android smartphones and tablets. By enabling “virtual” gyroscope functionality on all mobile devices, mCube’s iGyro delivers immersive, 9DoF (9 degrees of freedom) motion gaming and augmented reality experiences to phone and tablet users, while halving the power, cost and board space compared to hardware-based discrete solutions. iGyro’s low power consumption and small size are ideal for the new generation of IoMT (Internet of Moving Things) applications, especially wearables.

Nod Labs’ Nod is the world’s most advanced gesture control ring that interacts with digital and physical objects through a simple wave of your hand, as if by magic. Nod is a universal controller, allowing effortless communication with all of the smart devices in your connected life, including phones, tablets, Google Glass, watches, home appliances, TVs, computers and more. The device is especially compelling when paired with head tracking in virtual reality settings, allowing users to game in the immersive reality environment without a clunky controller. Nod is waterproof to 5 ATM or 165 feet and comes in over 12 different sizes.

Spectral Engines‘ spectral sensors offer spectrometer performance at a sensor price point. As replacements for huge spectrometer machines, the company’s compact, lightweight, cost-effective and low-power sensors can be connected wirelessly to the IoT and cloud services. This enables installation of full spectroscopic systems for applications in which size and robustness matter, such as automated manufacturing lines and hand-held devices.

Join Us for MEMS & Sensors Technology Showcase
Thursday, November 6, 10:45-11:45 a.m. at MEMS Executive Congress, JW Marriott Scottsdale Camelback Inn Resort & Spa. The MEMS & Sensors Technology Showcase is sponsored by AkusticaBosch Automotive Electronics and Bosch Sensortec.

Seven companies selected from a pool of applicants will compete in MEMS Industry Group’s (MIG)’sElevator Pitch Session at MEMS Executive Congress. Making ‘Shark Tank’-style pitches to judges from the investment community, a mixture of startups, established companies, researchers and individuals will present products and technologies for potential funding — all in front of more than 250 attendees of MEMS Executive Congress.

This year’s finalists include:

  • CaddieON Inc.’s CaddieON®  uses RFID tags, a wrist-worn device, a smartphone app and a Web portal to empower golfers to make more informed decisions. By capturing stroke data — club used, lie, location and length — golfers get the performance data while on the course to choose the right club and plan optimal game strategy. The company is seeking a $1 million investment for marketing, sales and manufacturing.
  • Force Impact Technologies’ FitGuard is a Bluetooth-compatible accelerometer-enabled mouthguard that can measure the force of an impact and visually display the force from the impact via color-coded illuminated LEDs. The LEDs provide instant visual indication to coaches and officials when a player needs to come off the field to be properly evaluated. The company is seeking a $250,000 investment.
  • AnatoMotion’s Dental Imaging System will be an advanced and more affordable dental imaging system for diagnosing and treating a number of dental-related issues, including TMJ and bite dysfunctions. The company seeks a minimum investment of $150,000.
  • Indiana Integrated Circuits LLC’s Quilt Packaging (QP) technology is a new microchip interconnection technology that incorporates conductive “nodules” fabricated on the sides of chips. These nodule structures can serve as extremely wide-bandwidth, low-loss electrical I/O, enable sub-micron mechanical chip-to-chip alignment, and deliver a chip-to-chip gap as small as five microns. The company seeks funding and/or a commercialization partner to demonstrate feasibility of QP for specific MEMS products and to verify reliability of QP-enabled systems.
  • Sand 9’s MEMS resonators are the world’s smallest resonators. Because they eliminate the need for any external timing source, they are ideally suited for integration into a System-in-Package (SiP) or SoC environments. At the same time, they improve performance and reliability while reducing costs. By co-packaging Sand 9’s MEMS resonators with their SoC solutions, semiconductor manufacturers can now realize the next step in IoT/mobile product evolution.
  • Cambridge CMOS Sensors’ CCS801 is a miniaturized CMOS MEMS-based multi-gas sensor that can be used for detecting Ethanol (Alcohol), hazardous gases such as Carbon Monoxide (CO) and a wide range of Volatile Organic Compounds (VOCs) for Indoor Air Quality (IAQ) monitoring. Based on the company’s Micro-hotplate technology — a unique silicon platform that supports sensor miniaturization, significantly lower power consumption, and ultra-fast stabilization and response times — the CCS801 is suitable for smartphones, tablets and wearable devices.

Elevator Pitch Coaches and Judges

This year’s finalists will each receive pre-event coaching, before they make their pitch to a panel of judges.

2014 Elevator Pitch coaches include:

2014 Elevator Pitch judges include:

The Elevator Pitch Session winner will receive an iGrant from Rogue Valley Microdevices and Sustainable Valley Technology Group that is valued at $160K plus one free year of MIG membership.

Driven by rising demand for fitness and health monitoring features as well as by improved user interfaces, shipments of sensors used in wearable electronic devices will rise by a factor of seven from 2013 through 2019, according to IHS Technology. 

The worldwide market for sensors in wearables will expand to 466 million units in 2019, up from 67 million in 2013, as presented in the figure below.

2014-10-15_MEMS

Shipments of sensors will climb much more quickly than the market for the wearable devices themselves. Wearable devices will increase to 135 million units in 2019, less than three times the total of 50 million in 2013.

“Wearables are a hotbed for sensors, with market growth driven by the increasing number of these components in each product sold,” said Jérémie Bouchaud, director and senior principal analyst, MEMS & Sensors, at IHS Technology. “The main factor propelling this phenomenon is a transition in market share away from simple products like pedometers and toward more sophisticated multipurpose devices such as smartwatches and smartglasses. Instead of using a single sensor like the simpler devices, the more complex products employ numerous components for health and activity monitoring, as well as for their more advanced user interfaces.”

The average wearable device shipped in 2019 will incorporate 4.1 sensor elements, up from 1.4 in 2013.

Smartphone brands are increasingly aware that wearables are a better platform for some types of sensors than mobile handsets. IHS expects components like humidity sensors and pulse sensors to move from handsets to wearable devices, such as new smartwatches introduced by Samsung, Apple and others. This will further boost shipments of sensors in wearables.

This information is derived from the new IHS report entitled “MEMS & Sensors for Wearables Report – 2014” from the IHS MEMS & Sensors service.

Sensor scan

The types of sensors used in wearables are motion sensors, microelectromechanical systems (MEMS) and sensors for user interfaces, health sensors and environmental sensors.

Motion sensors represent the dominant technology in the wearables segment and comprise the component categories of accelerometers, gyroscopes, magnetometers, pressure sensors and combo motion sensors. MEMS sensors for user interfaces include MEMS microphones, proximity sensors and MEMS displays.

The health sensor area is represented by pulse, pulse-oximeters, hydration and skin temperature sensors. Environmental sensors include humidity, temperature and ultraviolet (UV) components.

Sensing opportunity

Wearables increasingly are employing sensors for fitness monitoring, using motion sensors or health sensors. The wearable devices also are implementing fitness and health monitoring using motion sensors or health sensors like pulse sensors. On the user interface front, wearables use MEMS microphones for voice command and motion sensors for tap command.

“The use of these types of sensors reflects consumer preferences that are propelling the growth of the wearables market,” Bouchaud said. “Users want health and fitness monitoring, and they want wearable devices that act as extensions of their smartphones. However, there’s no real demand from consumers for environmental sensors. Instead, the rising adoption of environmental sensors such as humidity and UV devices is being pushed by both sensor suppliers and wearable original equipment manufacturers (OEM).”

Watching the market

The market for sensors in wearables will undergo a major acceleration next year as shipments of the Apple Watch commence. Overall wearable sensor shipments will double next year; shipments of sensors for smartwatches will surge by nearly 600 percent.

The Apple Watch not only employs an accelerometer, but also a gyroscope, a microphone and a pulse sensor.

“Similar to the iPhone and iPad, IHS expects the Apple Watch will set a de facto standard for sensor specifications in smartwatches,” Bouchaud said. “Most other wearable OEMs will follow Apple’s lead in using these four devices—or will add even more sensors to differentiate.”

Fitness and heart rate monitors and foot pods and pedometers lead the wearable market in terms of sensor shipments in 2013.

However, smartwatches will take the top position starting next year and will maintain dominance through 2019.

STMicroelectronics dominates sensors

STMicroelectronics is by far the top MEMS and sensor supplier for the wearable market. The company consolidated its leadership position in 2013 with a 26 percent share of revenue, up from 20 percent in 2012.

Beside its leadership in the discrete accelerometer market, STMicroelectronics’ success with wearable sensors is because of its strong bundling strategy. The company often sells its sensors as part of a packaged deal along with its other semiconductor offerings, such as 32-bit microcontrollers and wireless chips.

Emergence of new wide bandgap (WBG) technologies such as SiC and GaN materials will definitely reshape part of the established power electronics industry, according to Yole Développement (Yole). SiC and GaN benefits are now well known. Indeed such materials offer: higher frequency switching – higher power density – higher junction temperature – higher voltage capabilities.

Yole will present its vision of the Power Electronics Industry, at the Power Electronics Conference “The ultimate path to CO2 reduction,” taking place from October 8 to 9, in parallel of SEMICON Europa 2014. During the conference, Yole will detail the status of the Power Electronics industry, its technology trends and related players, taking into account the introduction of new materials such as SiC and GaN.

illus_sic_gan_powerelectronicsconference_yole_oct2014

From the silicon carbide side, its adoption for train applications is a main trend. It confirms that SiC could play an important role in the high and very high voltage ranges (up to 1.7kV) in a near future.

“At Yole, we stay convinced that these voltage and related power ranges, are exactly the place-to-be for SiC technology,” says Pierric Gueguen, Senior Market Analyst, Power Electronics at Yole Développement (Source: SiC Modules, Devices and Substrates for Power Electronics report, October 2014).

Last June, Yole released its Power GaN Market report confirming as well the introduction of GaN in the Power Electronics market. Yole’s analysts identify numerous applications, especially for low voltage range such as power supply/PFC. According to this technology & market analysis, the power supply/PFC segment will dominate the business from 2015 to 2018 with 50 percent of the device sales.

However, with such new wide bandgap (WBG) technologies, industrials face new technical challenges. Indeed, current packaging solutions do not answer to GaN and SiC specifications. Under this context, some companies develop a new enhanced package strategy to improve performances. According to Yole, based on these new packaging solutions, the power modules market should reach US$ 200 million in 2016.

At SEMICON Europa 2014, the market research and consulting company, Yole will present an overview of the Power Electronic sector. Based on its strong expertise in this area, the company will detail the market structure, its supply chain, key players and technology challenges. With its participation, Yole Développement would like to reaffirm its positioning in the Power Electronics industry and develop its network.

“SEMICON Europa 2014 is the place to meet leaders of the industry, from material suppliers to equipment makers including device and modules manufacturers; this event allows our team to exchange about market trends and technology challenges, to better understand companies’ strategy and market structure,” says Jean-Christophe Eloy, President & CEO, Yole Développement.

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the EVG 580 ComBond―a high-vacuum wafer bonding system, which enables electrically conductive and oxide-free covalent bonds at room temperature. Built on a modular platform to support high-volume manufacturing (HVM) requirements, the new system is ideally suited for bonding different substrate materials together in order to enable higher-performing devices and new applications, including:

  • Multi-junction solar cells
  • Silicon photonics
  • High-vacuum MEMS packaging
  • Power devices
  • Compound semiconductor and other advanced engineered substrates for “beyond CMOS” applications such as high-mobility transistors, high-performance/low-power logic and radio frequency (RF) devices

“During the recent installation and acceptance test phase our new EVG580 ComBond has demonstrated its capacity to create excellent covalent bonds at room temperature. CEA-Leti is looking forward to working with EVG within our Common Lab on implementing the EVG580 ComBond to further development activities in several key areas,” said Fabrice Geiger, VP Silicon Technologies Division at CEA-Leti.

“The EVG580 ComBond system masters the crucial surface preparation steps that are needed to ensure contamination- and oxide-free bonds at room temperature,” stated Paul Lindner, executive technology director at EV Group. “With this breakthrough technology, we can bond nearly anything on anything—creating many different material combinations in wafer form. This supports our customers’ efforts to develop and ramp new devices into mass production to enable a variety of emerging and high-growth applications—from the development of silicon photonics for next-generation telecommunications to more advanced power devices that can enable electric vehicles to drive longer distances between charges.”

Challenges with Combining Compound Semiconductors and Silicon

Combining materials with different properties to produce electronic devices, such as III-V compound semiconductor materials like gallium nitride (GaN), gallium arsenide (GaAs) and indium phosphide (InP) with silicon substrates, can lead to enhanced device performance due to higher carrier mobility as well as open up new capabilities such as the emission of light through silicon, which can enable optical interconnects and routers. However, combining these materials through traditional epitaxial growth processes leads to crystal dislocation defects due to differences in lattice constant and coefficient of thermal expansion (CTE), which in turn degrade performance.

Boston Semi Equipment LLC (BSE) today announced it has combined all of its automated test equipment (ATE) businesses under the Boston Semi Equipment brand name. Effective immediately, the Test Advantage Hardware and MVTS Technologies businesses will operate using the Boston Semi Equipment name. This follows the company’s announcement in July that it was integrating all sales and service for ATE, Prober and Test Handler products into the Boston Semi Equipment field sales organization.

Boston Semi Equipment has now built an organization of tester, handler and prober integration specialists to address the semiconductor industry’s need for a vendor-independent test cell solution provider. BSE can provide equipment configured to the customer’s exact requirements, deliver a complete test cell solution across all tester platforms fully utilizing the original OEM technology, and provide service and support to keep ATE at peak performance.

“We believe we have created the largest ATE-focused organization outside of the OEMs,” stated Bryan Banish, CEO of Boston Semi Equipment. “Our ATE organizations have been delivering standard ATE configurations, test services, custom equipment solutions, and service and support programs for our semiconductor ATE customers since 1994. Because we have experience on all major current generation and legacy ATE models, we can support any and all ATE-related projects to meet our customers’ test needs.”

BSE acquired Test Advantage Hardware in 2010 and has steadily expanded the company’s capabilities in current-generation ATE platforms. In June, 2014 the company also acquired MVTS Technologies (MVTS), which has extensive experience extending the life of legacy ATE, maximizing the investment of semiconductor companies in their test assets. The combined capabilities provide Boston Semi Equipment customers with an alternate source for high quality and economical ATE equipment, service, and test cell solutions. 

Boston Semi Equipment LLC is a semiconductor equipment company that has established a reputation as a reliable source for affordable back end test equipment, fab tools and service solutions for semiconductor manufacturers and OSATs worldwide.

Rudolph Technologies has introduced its new SONUS Technology for measuring thick films and film stacks used in copper pillar bumps and for detecting defects, such as voids, in through silicon vias (TSVs). Copper pillar bumps are a critical component of many advanced packaging technologies and TSVs provide a means for signals to pass through multiple vertically stacked chips in three dimensional integrated circuits (3DIC). The new SONUS Technology is non-contact and non-destructive, and is designed to provide faster, less costly measurements and greater sensitivity to smaller defects than existing alternatives such as X-ray tomography and acoustic microscopy.

“SONUS Technology meets a critical need for measuring and inspecting the structures used to connect chips to each other and to the outside world,” said Tim Kryman, Rudolph’s director of metrology product management. “Copper pillar bumps and TSVs are critical interconnect technologies enabling 2.5D and 3D packaging. The mechanical integrity of the interconnect and final device performance are directly dependent on tight control of the plating processes used to create copper pillar bumps. Likewise, the quality of the TSV fill is critical to the electrical performance of stacked devices. This new technology allows us to measure individual films and film stacks with thicknesses up to 100µm, and detect voids as small as 0.5µm in TSVs with aspect ratios of 10:1 or greater.”

Kryman added, “SONUS Technology builds on the expertise we developed in acoustic metrology for our industry-standard MetaPULSE systems, which are widely used for front-end metal film metrology. By offering similar improvements in yield and time-to-profitability in high volume manufacturing (HVM), SONUS offers a compelling value proposition to advanced packaging customers.”

Both MetaPULSE and SONUS systems use a laser to initiate an acoustic disturbance at the surface of the sample. As the acoustic wave travels down through the film stack, it is partially reflected at interfaces between different materials. Although the detection schemes are different, the reflected waves are detected when they return to the surface and the elapsed time is used to calculate the thickness of each layer. In the case of SONUS Technology, two lasers are used. The first laser excites the sample and the second probes for the returning acoustics. This decouples excitation and detection allowing SONUS to continuously probe the sample resulting in a much larger film thickness range. So, where MetaPULSE can measure metal films and stacks to ~10 microns, SONUS can measure films in excess of 100 microns. In addition, SONUS Technology’s use of interferometry to characterize the surface displacement provides a rich data set that can be analyzed to not only characterize film thickness, but perform defect detection.

The primary alternatives for such measurements are X-ray based tomographic analysis and acoustic microscopy. SONUS Technology’s ability to detect voids as small as half a micrometer is approximately twice as good as current X-ray techniques, which have a spatial resolution of about 1 micrometer. Acoustic microscopy can make similar measurements, but the sample must be immersed in water, which, though not strictly destructive, does effectively preclude the return of the sample to production. SONUS is both non-contact and non-destructive and is designed for R&D and high-volume manufacturing.

In the run up to the product introduction, Rudolph worked closely with TEL NEXX to develop SONUS-based process control for pillar bump and TSV plating processes. Arthur Keigler, chief technology officer of TEL NEXX, said, “We are attracted by the opportunity SONUS Technology offers our mutual customers in the advanced packaging market. The ability to measure multi-metal film stacks for Cu pillar, and then continue to use the same tool for TSV void detection offers immediate productivity and cost benefits to manufacturing and development groups alike.”

While Rudolph is initially focused on using the technology for copper pillar bump process metrology and TSV inspection, they are also investigating other applications, ranging from detecting film delamination to metrology and process control for MEMS fabrication processes.

Oxford Instruments is hosting its third series of annual seminars for the nanotechnology industry in India in November.  “Bringing the Nanoworld Together 2014” seminars are being held in Kolkata (November 24-25th) and Delhi (November 27-28th) and will showcase cutting edge nanotechnology tools and their use in multiple fields.

image004

The first day at each venue will comprise Plenary Sessions focusing on “Emerging Materials for Nanoscale Devices – Fabrication & Characterization.” Day 2 will focus on thin film processing, materials characterisation, surface science and cryogenic environments.  A wide range of topics will be covered within each technical area. This will also present an excellent opportunity for networking between all participants, including guest speakers from prestigious Indian and international institutes, speakers from the host institutes, and technical experts from Oxford Instruments.

The thin film processing sessions will review the latest etch and deposition technological advances, including: ALD, Magnetron Sputtering, ICP PECVD, Nanoscale Etch, MEMS, MBE and more.

The materials characterisation, surface science and cryogenic environment sessions will cover multiple topics and technologies including: ultra high vacuum SPM, Cryofree low temperature solutions, XPS/ESCA, an introduction to atomic force microscopy (AFM), and applications such as nanomechanics, in-situ heating and tensile characterisation using EBSD, measuring layer thicknesses and compositions using EDS, and nanomanipulation and fabrication within the SEM/ FIB.  Andor Technology, a recently acquired business, will also be showcasing its high performance optical cameras and software which are used in both the physical and bio sciences.

Previous host Prof. Rudra Pratap, Chairperson at the Centre for Nano Science and Engineering, Indian Institute of Science, IISC Bangalore commented, “This seminar has been extremely well organized with competent speakers covering a variety of processes and tools for nanofabrication. It is great to have practitioners in these areas give talks and provide tips and solutions based on their experience – something that cannot be found in text books.”

Mark Sefton of Oxford Instruments Nanotechnology Tools commented, “We are demonstrating our commitment to our customers through providing these learning events, encouraging discussion and cross dissemination of ideas that is of benefit to all those attending. Not only do we provide high technology tools and excellent global service, but we want our customers to be empowered to use these systems to the best of their abilities, with the maximum information possible behind them.”

Taiwanese chipmakers, LED manufacturers, and Outsourced Semiconductor Assembly and Test (OSAT) firms will spend firm nearly $24 billion in the next two years on equipment and materials, powering excitement for SEMICON Taiwan 2014, which opened today in Taipei.  Leaders in the industry are convening for the September 3-5 event at the TWTC Nangang Hall.

Driven by consumer demand for tablet, smartphone, and mobile devices, the total semiconductor equipment market is expected to grow 20.8 percent in 2014 (reaching $38.4 billion) and expand another 10.8 percent in 2015 (exceeding $42.6 billion). SEMI forecasts that Taiwan will continue to be the world’s largest spender with $11.6 billion estimated for 2014 and $12.3 billion for 2015.

Nearly 650 exhibitors, 1,400 booths and more than 40,000 attendees are expected at SEMICON Taiwan.  Over 400 will convene for the SEMICON Taiwan Leadership Gala Dinner, one of the most important executive events for the high-tech industry in Taiwan.

SEMICON Taiwan features co-located events and technology theme pavilions focusing on IC design, MEMS, 3D-ICs, advanced packaging/testing, sustainable manufacturing, and secondary equipment.

Business Program Highlights

Facing the fast-changing business environment and global competition, companies must be prepared for unexpected challenges to survive. SEMICON Taiwan covers the critical issues in sessions focusing on market trends to executive forums.

On September 3, Cliff  Hou (VP of TSMC), Charles Kau (chairman of Inotera Memories), Tien Wu (COO of ASE Group), Lip-Bu Tan (president and CEO of Cadence), and Luc Van den hove (president and CEO of imec) will be on the SEMICON Taiwan Executive Forum stage to share their unique perspectives on Taiwan strategic role in the world’s microelectronics industry. Also on September 3, the Market Trends Forum features speakers from Barclays Capital, Gartner, IC Insights, Morgan Stanley, SEMI, TechSearch and TSMC. On September 5, the Memory Executive Summit includes presenters from ITRI/EOL, Lam Research, Micron, MXIC, and more while the CFO Executive Summit features speakers from DBS Bank (Taiwan), EQUVO, Micron, and TSMC.

Technology Programs Highlights

Wednesday, September 3

  • Advanced Packaging Technology Symposium: Presenters will cover market trends, product applications, packaging/assembly solutions (wire bond/flip chip/hybrid) to advanced equipment and material development, and testing and reliability. With experts involved from the entire supply chain, the seminar will cover the most advanced technology development directions for 3D-IC.
  • Sustainable Manufacturing Forum: Showcasing companies and speakers from around the world involved in the manufacture of semiconductors, FPD, PV, High-Brightness LEDs, MEMS, and other high tech products, experts will address a wide variety of environment, health, safety (EHS) and sustainability topics that affect high-tech manufacturing.

Thursday, September 4

  • SiP Global Summit 2014: With a strong focus on heterogeneous integration through System-in-a-Package (SiP) technology, SEMI will host the 4th annual SiP Global Summit on September 3-5.  The event features more than 20 industry leaders who will share their insights and solutions on 3D-IC, Through Silicon Via (TSV), 2.5D-IC with silicon interposer, and embedded substrate technologies. More than 500 industry professionals from around the world are expected to attend.  
  • MEMS Forum:  With a focus on “MEMS for Smart Living,” the September 4 forum will discuss the opportunities as well as challenges.

Friday, September 5

  • Embedded Technology Forum (SiP Global Summit 2014): With demand for wearable/portable devices booming, small form factor has become critical for embedded technology. The Forum reviews product applications and development progress in process and materials to give attendees a comprehensive understanding of embedded technology.
  • Litho & Mask Technology Symposium: In this symposium, exploratory lithography technologies are addressed — directed self assembly (DSA), nanoimprint technologies, multiple e-beam, and extreme ultraviolet lithography (EUV).

For more information and online registration, visit the SEMICON Taiwan website: www.semicontaiwan.org

MEMS Industry Group (MIG) will host MEMS Executive Congress US 2014, an annual business conference and networking event for the MEMS and sensors industry, November 5-7, 2014 in Scottsdale, AZ.

Spanning environmental sensors for safe drinking water to personalized healthcare, cybersecurity for connected systems, and spectral imaging in wearable devices, micro-electromechanical systems (MEMS) and sensors enable human-machine interactions in unprecedented ways.

“This year’s MEMS Executive Congress US speakers reflect some of the most fascinating uses of MEMS and sensors in commercial applications,” said Karen Lightman, executive director, MEMS Industry Group. “Ayasdi is tracking disease via smartphone. MoboSens uses a smartphone sensor to monitor nitrate in drinking water. VTT’s microspectromers are likely to be used for skin cancer analysis, among many other uses. GE is advancing MRI. Wurldtech’s technology protects critical infrastructure for oil and gas, smart grid and medical devices. And those are just a few of our speakers who will enlighten the C-level audience about maximizing the potential of MEMS and sensors — the theme of this year’s MEMS Executive Congress. Equally exciting, Congress attendees will also hear from entrepreneurs, academic innovators and one of the world’s largest foundries, TSMC.”

Featured Events

For the third year in a row, MIG’s popular MEMS and Sensors Technology Showcase will give Congress attendees an up-close and personal experience with some of the most compelling MEMS- and sensors-enabled products ever invented.

MIG’s second annual Elevator Pitch Session will give early-stage MEMS and sensors companies a platform for reaching potential investors.

MIG’s annual Best in MEMS and Sensors Innovation Awards will celebrate outstanding achievements in the MEMS and sensors industry.

Now in its tenth year, MEMS Executive Congress is an annual event that brings together business leaders from a broad spectrum of industries: automotive, consumer goods, energy/environmental, industrial, medical and telecom. It is a unique professional forum at which executives from companies designing and manufacturing MEMS/sensors technology sit side-by-side with their end-user customers in panel discussions and networking events to exchange ideas and information about the use of MEMS and sensors in commercial applications.

For the complete agenda, visit: http://us2014.memscongress.com/agenda/.