Category Archives: Packaging and Testing

Integrated Device Technology, Inc. yesterday announced the industry’s first differential MEMS oscillators with 100 femtosecond (fs) typical phase jitter performance and integrated frequency margining capability. The extremely low phase jitter and adaptable output frequency of IDT’s high-performance oscillators significantly reduce bit error rate (BER) in 10 gigabit Ethernet (10GbE) switches, routers, and other related networking equipment.

The IDT 4H performance MEMS oscillators feature a differential LVDS / LVPECL output and the lowest phase jitter in their product class (100 fs @ 1.875 – 20 MHz and sub-300 fs @ 12kHz – 20 MHz), satisfying the low-jitter chipset requirements of high-performance networking applications. Integrated frequency margining capability enables customers to fine-tune the oscillator frequency during operation in the application by up to ±1000 ppm, minimizing BER and facilitating margin testing. IDT’s 4H MEMS oscillators are available in multiple package sizes including the smaller 3225 (3.2 x 2.5 mm) to save board space and cost in densely populated applications. IDT is the only supplier to offer this combination of MEMS oscillator performance, features, and small package size.

“IDT’s latest series of MEMS oscillators build upon the standard 4M and enhanced 4E oscillator series’ to address the demanding performance requirements of 10GbE and networking applications,” said Christian Kermarrec, vice president and general manager of the Timing and Synchronization Division at IDT. “As the leader in timing solutions, we equip our customers with the highest performance parts and innovative features to facilitate the development of their next-generation products. We are pleased to see many OEMs choosing IDT over MEMS start-up suppliers for the experience and technical innovation that IDT provides.”

“Cloud computing and storage infrastructure is growing rapidly with almost 50% of servers and storage clusters shipping with 10GbE. High performance MEMS oscillators enable a lower bit error rate in enterprise computing and storage infrastructure and offer much better reliability at the same time,” said Jérémie Bouchaud, director and principal analyst for MEMS and sensors at IHS.

IDT’s integrated frequency margining capability enables customers to employ a technique known in the industry as ‘plus-PPM clocking’. This technique clocks systems at a slightly higher frequency, allowing OEMs to reduce BER and resulting packet losses in networking applications. Unlike competitive MEMS devices that only offer fixed frequencies, IDT’s device allows hundreds of offset frequencies that can be generated after the selection of any base frequency up to 625 MHz – even on final production systems. This empowers designers to expedite the development process and optimize system performance.

The 4H MEMS oscillators leverage IDT’s patented piezoelectric MEMS (pMEMS) resonator technology to provide a high-frequency source of unparalleled performance and reliability. IDT MEMS oscillators offer 40 times better reliability than quartz with no activity dips, no zero-time failures, higher jitter resistance to EMI, and excellent shock and vibration resistance, making them an ideal upgrade solution for traditional quartz-based oscillators.

The IDT 4H family expands upon the successful 4M and 4E series of MEMS oscillators. The 4M standard oscillators offer significant value as a drop-in replacement for differential quartz oscillators with less than 1 picosecond (ps) of phase jitter. The 4E enhanced oscillators integrate an LVDS or LVPECL output with a synchronous CMOS output into a single package, eliminating the need for an external crystal or secondary oscillator. In addition, the 4E oscillators feature four selectable output frequencies, allowing for the replacement of four components with a single device to reduce the bill-of-materials and consolidate inventory.

The IDT 4H MEMS oscillators are currently sampling to qualified customers and are available in standard 7.0 x 5.0 mm, 5.0 x 3.2 mm and 3.2 x 2.5 mm VFQFPN packages. Most standard frequencies are readily available. Custom frequencies can be configured by request.

Roger Grace, President of Roger Grace Associates, a MEMS marketing organization, will debut the results of his annual “Barriers to the Successful Commercialization of MEMS: The 2012 MEMS Commercialization Report Card” at the annual Smart Systems Integration Conference and Exhibition to take place at Amsterdam, The Netherlands on March 13/14, 2013.  The Report Card market research project, which provides letter “grades” to the 14 critical success factors for MEMS commercialization, was developed by Roger Grace Associates based on significant research he conducted on the topic of technology commercialization.  Begun in 1998 and reporting yearly on the state of the MEMS industry’s commercialization efforts worldwide, it is universally considered to be a truly unique, objective and accurate assessment tool by MEMS community leaders to monitor the status of the progress of the commercialization of MEMS on a year-by-year basis and to develop strategies to exploit opportunities.

“The 2012 Report Card market study demonstrated yet another successful year for the MEMS community to participate in having their voices heard on their opinions of the current state of commercialization efforts of the MEMS industry,” Grace said. “Over 65 MEMS industry leaders participated in the study.  They represented a true sample of MEMS industry suppliers, users and infrastructure organizations worldwide equating to over 1300 years of aggregate experience in the MEMS industry.  Not only did the participants provide letter grades to the 14 topics but additionally contributed valuable comments as to their opinions and rationale for the grades which uncovered many interesting issues.”

The overall grade of the 2012 MEMS Commercialization Report Card maintained its B- level from its 2011 level with changes in a number of grades from their 2011 levels.  Among the most significant changes was “Design for Manufacturing and Test,” which went from B+ in 2011 to B- in 2012 and represented the second consecutive year of the decline.  The “Market Research” grade declined from B in 2011 to B- in 2012 and also representing a second consecutive year decline.  Increased grades included “Profitability,” which rose from C- in 2011 to C in 2012, thus coming back from its low of D in 2010. ”Cluster Development” increased from C in 2011 to C+ in 2012.  One of the most striking results was the continuing low grade of D+ for “Venture Capital Attraction” for the third consecutive year and at reporting period low of D in 2009.

“Based on the results of the study, it is apparent that the MEMS industry has a  great deal of room for improvement, especially in the business areas of ‘Profitability,’ ‘Venture Capital Attraction’ and “Creation of Wealth,’” Grace commented. “I would have expected to see much more promising grades in these areas since we are now very strongly coming out of the Crisis of 2008 and especially since several market studies have pegged the growth of the MEMS market in the high teens for 2012.  However, the results did not support this.  I believe that these 14 critical success factor topics are the “vital signs” of the MEMS industry.  Their respective grades reflect the true “health” of the MEMS industry based on the select group people who I have interviewed, their experience and knowledge of the industry and their representation of the various sectors of the MEMS ecosystem worldwide.”

The 2012 MEMS Commercialization Report Card will be available on the Roger Grace Associates website beginning on March 13. The final study results, including “verbatim” comments from the participants is scheduled for publication on April 15 and will also be available on the Roger Grace Associates website.  Mr. Grace will present the findings of the 2012 MEMS Commercialization Report Card at a webinar sponsored by the MEMS Industry Group on April 2, 2013 at 11:30 a.m.

Roger Grace Associates, headquartered in Naples Florida, was founded in 1982.  It provides strategic marketing services to the MEMS and sensors community worldwide including strategic marketing communications, branding and positioning, market research, product launching  and  due diligence.  Its list of clients represents the “who’s who” of MEMS organizations from Fortune 500 companies to startups and government agencies.

 

With the introduction of the Galaxy S4, Samsung Electronics continues to lead the market in the adoption of pressure sensors in smartphones, paving the way for massive growth in the market for these devices in the coming years.

Global shipments of microelectromechanical system (MEMS) pressure sensors in cellphones are set to rise to 681 million units in 2016, up more than eightfold from 82 million in 2012, according to the IHS iSuppli MEMS & Sensors Service at information and analytics provider IHS (NYSE: IHS). Shipments this year are expected to double to 162 million units, as presented in the attached figure, primarily due to Samsung’s usage of pressure sensors in the Galaxy S4 and other smartphone models.

“Samsung is the only major original equipment manufacturer (OEM) now using pressure sensors in all its flagship smartphone models,” said Jérémie Bouchaud, director and senior principal analyst for MEMS and sensors at IHS. “The company appears to be slightly ahead of its time in its adoption of pressure sensors, even though the most compelling application—indoor navigation—is still not ready for deployment. However, Samsung seems to want to anticipate the start of this market and get a jump on the competition for pressure sensors. The pressure device represents just one component among a wealth of different sensors used in the S4.”

Pressure’s rising

Besides Samsung, few other OEMs have been using pressure sensors in smartphones. The only other smartphone OEMs to use pressure sensors in their products are Sony Mobile in a couple of models in 2012, and a few Chinese vendors, like Xiaomi.

Apple Inc., which pioneered the use of MEMS sensors in smartphones, does not employ pressure sensors at the moment in the iPhone. However, IHS expects Apple will start them in 2014, which will contribute to another doubling of the market in 2014 to 325 million units.

Applying pressure

Although pressure sensors aren’t very useful currently in smartphones, they hold strong potential for the future.

The most interesting application now is the fast Global Positioning System (GPS) lock, wherein the GPS chipset can lock on to a satellite signal and calculate positions more quickly by using the pressure sensor to determine the smartphone’s altitude.

However, the most exciting use for pressure sensors in the future will be indoor navigation, an area with massive potential growth in retail and travel applications. Pressure sensors will provide the floor accuracy required to determine which level a user is on within a structure.

While the ecosystem is not yet fully in place for indoor location/navigation, IHS anticipates this market will reach a breakthrough in growth during the next 12 to 18 months.

By this time, Samsung will have a considerable lead over Apple and other competitors in the installed base of pressure sensors in smartphones.

Samsung takes lead in smartphone MEMS sensors

Although Apple pioneered the usage of MEMS sensors in smartphones, and was the top consumer of these devices for many years, Samsung in 2012 took the lead from Apple for the first time. With Samsung expected to maintain hegemony in smartphone shipments in 2013 and the company loading up on the number of MEMS and other sensors in each smartphone that it ships, its lead in this area is is likely to continue to grow.

Given its emphasis on detecting and adapting to consumer lifestyles, the Galaxy S4 integrates a wealth of different sensors, including the accelerometer, RGB light, geomagnetic, proximity, gyroscope, barometer, gesture and even temperature and humidity varieties.

Sensor suppliers

While IHS has not yet conducted a physical teardown of the Galaxy S4, the IHS iSuppli MEMS and Sensors Service is able to anticipate the likely suppliers of these devices for the smartphone.

The pressure sensor in the S4 is made either by STMicroelectronics, as it was in the Galaxy S III; or by Bosch, like what was used in the Galaxy Note 1 and 2. Both companies are the only mass producers of these devices today for handsets.

And just as in the Samsung Galaxy S III, STMicroelectronics and yet another supplier, InvenSense, are expected to share the supply of the S4’s inertial measurement unit (IMU), which combines the accelerometer and gyroscope.

Meanwhile, the S4’s compass could be supplied by any one of three entities: by AKM—the same as the Galaxy S III; or by Yamaha—as was used in a previous member of the Galaxy smartphone line; or by Alps—which is an up-and-coming manufacturer in this area.

Maximum RGB

IHS expects that Samsung will continue to use an RGB sensor in the S4, as part of a combo device that aggregates RGB, proximity, and IR LED emitter, as it did in the Galaxy Note 2 and the Samsung S III. Samsung was the only user of such combo sensors in smartphones in 2012.

If the RGB sensor is installed on the side of the S4 display, it will be used to sense the color temperature of the room where it’s located, and adapt the contrast and colors on the display to enhance the viewing experience. Such RGB sensors are useful for high-end displays. Since the Galaxy S4 is expected to have full high-definition display—unlike the S3—the added value of having an RGB sensor might be more obvious and noticeable in the S4.

The RGB sensor also could be installed on the back the Galaxy S4 in conjunction with the camera module. This can help in taking better pictures by correcting the white balance.

Capella Microsystems is likely to be the RGB supplier, just as in the Galaxy S III. Other potential suppliers are ams-TAOS, Maxim and Hamamatsu.

STMicroelectronics and CMP today announced that ST’s THELMA MEMS manufacturing process, the process ST uses for its industry-leading accelerometers and gyroscopes, which have shipped in billions of units, is now available for prototyping to universities, research labs and design companies through the silicon brokerage services provided by CMP. ST is releasing this process technology to third parties as a prototyping and foundry service to encourage new developments in motion-sensing applications for consumer, automotive, industrial and healthcare markets.

The 0.8-micron, surface micro-machining THELMA (Thick Epitaxial Layer for Micro-gyroscopes and Accelerometers) process combines variably thick and thin poly-silicon layers for structures and interconnections. This enables the integration of linear and angular mechanical elements in a single chip, delivering significant cost and size benefits to customers.

The CMP multi-project wafer service allows organizations to obtain small quantities — typically from a few dozens to a few thousand units — of advanced ICs manufactured using the same process technologies as would be used on much higher-volume products. The THELMA process design rules and design kits are now available for universities and microelectronics companies and the first requests are already being answered.

The introduction of ST’s MEMS manufacturing process in CMP’s catalogue builds on the collaboration that has allowed universities and design firms to access ST’s semiconductor manufacturing processes from the 130nm CMOS, introduced in 2003, up to the 28nm FD-SOI technology, released for prototyping in late 2012, which enables the efficient design of next-generation mobile devices that simultaneously require high performance and low power consumption.

"The small-scale availability of our industry-leading MEMS process alongside CMOS technologies including the game-changing FD-SOI, complemented with CMP’s advanced service capabilities, offers unprecedented access to state-of-the-art in chip manufacturing for start-ups and R&D labs looking to design intelligent sensor systems," said Benedetto Vigna, Executive Vice President, General Manager, Analog, MEMS & Sensors Group, STMicroelectronics. "With leading-edge industrialized processes at their fingertips, innovators can now concentrate on developing new products rather than investing time and resources in developing technologies."

"Anticipating huge development in MEMS, CMP was the first silicon brokerage service in the world to offer MEMS technologies as early as in 1995," said Bernard Courtois, Director of CMP. "Today, CMP is expanding the very successful ST partnership to the THELMA process, offering both the CMOS part and the MEMS part from a single manufacturer. Going beyond inertial sensors, pressure sensors, microphones, e-compasses etc., the ST-CMP partnership will allow CMP customers to move towards complex, embedded systems, addressing more and more societal needs, as components of the Internet of Things."

Brewer Science, a developer of lithography enhancement materials for semiconductor manufacturing, announces the installation of a scale-up reactor to increase production of its CNTRENE C100 family of electronics-grade CNT materials by tenfold. Such materials are used in chemical and biological sensors and nanotube-based nonvolatile random access memory device applications, which require extremely low levels of metal ion contaminants with concentration limits in parts per billion. 

“Small-scale reaction equipment can only take manufacturing so far with respect to quality and delivery time. This new scale-up reactor will provide the capability to complete weeks of reactions in three days while producing materials that meet stringent microelectronics specifications,” said Dr. Stephen Gibbons, Director of Technology of Brewer Science’s Carbon Electronics Center.

“With increasing customer usage and the move toward commercial adoption in devices, we needed to implement our third round of scale-up to support market demand,” Jim Lamb, director of business development for the Carbon Electronics Center, said. “Growth of our CNTRENE C100 family of products is driven by their use in nanotube-based nonvolatile random access memory devices, a universal CNT memory structure developed by Nantero, Inc., sold under the name NRAM, which could replace embedded memory, DRAM, SRAM, and flash memory devices. This structure allows flexible placement of memory in the device stack and can be stacked for vertically placed memory cells. NRAM devices provide other key benefits including robustness, 3-nanosecond write speeds, low operating power, radiation-hardened memory cells, and the ability to perform at high operating temperatures.”

Jim Lamb from Brewer Science will be presenting at the upcoming Applied Power Electronics Conference (APEC). He will speak about “Carbon Nanotubes Solutions for Packaging and Wireless Sensors” on Thursday, March 21, at the industry session on Nanotechnology Applications in Power Electronics.

 

System Plus Consulting analyzed a BAW MEMS Filter manufactured by Avago Technologies, assessing its manufacturing process, costing results and breakdown. With more than 1 billion units produced per year and a market share of 65%, System Plus Consulting found that Avago Technologies clearly dominates the BAW filter market. Avago BAW filters are all-silicon MEMS devices manufactured with Avago’s FBAR and Microcap technologies.

 

Avago ACMD-7612 Duplexer

(Courtesy of System Plus Consulting)

 

The ACMD-7612 is targeted for handsets or data terminals operating in the UMTS Band I frequency range and features a Maximum RF Input Power to Tx Port of ±33 dBm.

Manufacturing process

Film Bulk Acoustic Resonator (FBAR) is a silicon-based MEMS technology which uses AlN piezoelectric material for resonating layers. It allows creating structures with higher Q than surface acoustic wave (SAW) structures for most cellular frequency bands.

Microcap corresponds to the wafer level packaging process of the FBAR filters. The microcap process uses gold plated Through Silicon Vias (TSV) in the cap to report electrical contacts (and thus reduce filter dies size) and gold-gold thermo-compression wafer bonding to ensure an hermetic sealing.

 

MEMS Filter Cross-section

(Courtesy of System Plus Consulting)

 

Costing results

Filter dies are manufactured on high resistivity 6-inch wafers in Avago’s Fort Collins wafer fab. With more than 20,000 potential good dies per wafer, the manufacturing cost of a filter die is estimated to be in the range of 5¢.

 

 

MEMS Filter Wafer cost breakdown

(Courtesy of System Plus Consulting)

 

The full reverse costing report combining technological analysis of the devices and detailed manufacturing cost is already available.

System Plus Consulting develops costing tools and performs on demand reverse costing studies of semiconductors – from integrated circuits to power devices, from single chip packages to MEMS and multi-chip modules – and of electronic boards and systems.

How the semiconductor industry can create the next generations of nanoscale computing technology will be one of the themes of the 2013 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics, to be held at the National Institute of Standards and Technology (NIST), March 25-28, 2013, at its campus in Gaithersburg, Md.

As the devices lying at the heart of computing shrink ever closer to fundamental limits, the semiconductor industry must confront the problem of what to do when conventional microprocessors simply cannot shrink any further. The bi-yearly conference, which will be attended by international representatives from industry, government and academia, should be of interest to anyone concerned about the future of semiconductor manufacturing—a $300 billion industry and a linchpin of the U.S. economy.

Highlights include the three successive keynote addresses beginning at 9 a.m., Tuesday, March 26, by Mike Mayberry, V.P. and Director of Component Research at Intel; Naga Chandrasekaran, V.P. of Process R&D at Micron; and Gyeong-Su Park, Leader of the Analytical Science Group at Samsung Advanced Institute of Technology. Also, at 8:30 a.m., Thursday, March 28, invited speaker Tom Theis of the Nanoelectronics Research Initiative will deliver an address on long-term strategies to replace today’s transistors.

Researchers at the National Institute of Standards and Technology (NIST) have demonstrated a solid-state refrigerator that uses quantum physics in micro- and nanostructures to cool a much larger object to extremely low temperatures.

quantum solid state refrigerator from NISTNIST’s prototype solid-state refrigerator uses quantum physics in the square chip mounted on the green circuit board to cool the much larger copper platform (in the middle of the photo) below standard cryogenic temperatures. Other objects can also be attached to the platform for cooling.

What’s more, the prototype NIST refrigerator, which measures a few inches in outer dimensions, enables researchers to place any suitable object in the cooling zone and later remove and replace it, similar to an all-purpose kitchen refrigerator. The cooling power is the equivalent of a window-mounted air conditioner cooling a building the size of the Lincoln Memorial in Washington, D.C.

"It’s one of the most flabbergasting results I’ve seen," project leader Joel Ullom said. "We used quantum mechanics in a nanostructure to cool a block of copper. The copper is about a million times heavier than the refrigerating elements. This is a rare example of a nano- or microelectromechanical machine that can manipulate the macroscopic world."

The technology may offer a compact, convenient means of chilling advanced sensors below standard cryogenic temperatures—300 milliKelvin (mK), typically achieved by use of liquid helium—to enhance their performance in quantum information systems, telescope cameras, and searches for mysterious dark matter and dark energy.

As described in Applied Physics Letters, the NIST refrigerator’s cooling elements, consisting of 48 tiny sandwiches of specific materials, chilled a plate of copper, 2.5 centimeters on a side and 3 millimeters thick, from 290 mK to 256 mK. The cooling process took about 18 hours. NIST researchers expect that minor improvements will enable faster and further cooling to about 100 mK.

The cooling elements are sandwiches of a normal metal, a 1-nanometer-thick insulating layer, and a superconducting metal. When a voltage is applied, the hottest electrons "tunnel" from the normal metal through the insulator to the superconductor. The temperature in the normal metal drops dramatically and drains electronic and vibrational energy from the object being cooled.

NIST researchers previously demonstrated this basic cooling method, but are now able to cool larger objects that can be easily attached and removed. Researchers developed a micromachining process to attach the cooling elements to the copper plate, which is designed to be a stage on which other objects can be attached and cooled. Additional advances include better thermal isolation of the stage, which is suspended by strong, cold-tolerant cords.

Cooling to temperatures below 300 mK currently requires complex, large and costly apparatus. NIST researchers want to build simple, compact alternatives to make it easier to cool NIST’s advanced sensors. Researchers plan to boost the cooling power of the prototype refrigerator by adding more and higher-efficiency superconducting junctions and building a more rigid support structure.

This work is supported by the National Aeronautics and Space Administration.

Fab equipment spending for Front End facilities is expected to be flat in 2013, remaining around $31.7 billion, increasing to $39.3 billion in 2014 — a 24% increase. The SEMI World Fab Forecast also reveals that in 2013 increases for fab equipment spending will vary by technology node and that fab construction spending will increase an overall 6.7% with major spending in China. The report tracks equipment spending at over 180 facilities in 2013. 

More than 262 updates have been made since the last publication of the SEMI World Fab Forecast. Updates are based on announced spending plans, including major changes for TSMC, Samsung, Intel, SK Hynix, Globalfoundries, UMC, and for some Japanese facilities and LED facilities.  Despite these adjustments, the overall forecast for equipment spending for 2013 has remained about the same. Depending on macro-economic risk factors, possible scenarios project a range of -3% to +3% change rate for fab equipment spending in 2013; in other words, hovering around flat.

Though the overall outlook has improved some, fewer players in the market can afford the rising costs for research and development and upgrading facilities as the amount of money needed to upgrade facilities at the leading edge technologies is immense.  The World Fab Forecast report shows increases for fab equipment spending, varying by technology node.  Fab equipment spending for 17nm and below is expected to kick off in 2013 and increase by a factor of 2.4 to about $25 billion from 2013 to 2014.

Fab construction spending is now expected to increase 6.7% with construction spending to reach almost $6 billion. In 2014, however, construction project spending is expected to contract by about 18%. Construction spending is led by TSMC, with seven different projects for the year; followed by Intel. Fab construction spending in China will increase by a factor of four due to Samsung’s Mega fab in Xian.

Capacity is now forecasted to expand by just 2.8% for this year and to improve to 5.4% growth in 2014.  Excluding 2009, the years 2012 and 2013 show the lowest growth rate for new capacity over the past ten years.   However, pent-up demand is expected for some product types because capacity additions have been cut to minimum levels while chip demand keeps increasing. Capacity additions and equipment spending are expected to pick up in the second half of 2013. In 2014, at least 5% in new capacity will be added and fab equipment spending will increase by 2%. The World Fab Forecast gives detailed capacity information by industry segment and by individual company and fab.

Since the last fab database publication at the end November 2012 SEMI’s worldwide dedicated analysis team has made 262 updates to more than 210 facilities (including Opto/LED fabs) in the database. The latest edition of the World Fab Forecast lists 1,146 facilities (including 310 Opto/LED facilities), with 58 facilities starting production this year and in the near future.

The SEMI World Fab Forecast uses a bottom-up approach methodology, providing high-level summaries and graphs; and in-depth analyses of capital expenditures, capacities, technology and products by fab. Additionally, the database provides forecasts for the next 18 months by quarter. These tools are invaluable for understanding how the semiconductor manufacturing will look in 2013 and 2014, and learning more about capex for construction projects, fab equipping, technology levels, and products.

SEMI’s Worldwide Semiconductor Equipment Market Subscription (WWSEMS) data tracks only new equipment for fabs and test and assembly and packaging houses.  The SEMI World Fab Forecast and its related Fab Database reports track any equipment needed to ramp fabs, upgrade technology nodes, and expand or change wafer size, including new equipment, used equipment, or in-house equipment.

PNI Sensor Corporation and EM Microelectronic -Marin SA announce the introduction of the Sentral sensor fusion hub: a new, highly effective way to integrate complex motion sensors on mobile devices. The Sentral sensor fusion hub is the first hub designed specifically to manage sensor outputs on a low-power integrated circuit, making 9-axis motion sensing outputs both super-precise and practical to implement. Carrying top-of-the-line sensor fusion algorithms on an extremely low-power integrated circuit, Sentral eliminates the need for complex sensor configurations, calibrations and algorithm development. Further, it dramatically reduces power usage, offloading sensor fusion work from less efficient host CPUs or sensor CPUs onto an ultra-low-power IC tailor-made for sensor optimization.

This is welcome news for mobile device manufacturers, as Sentral makes the integration of 9-axis sensor systems faster and easier, and at the same time, improves the quality, reliability and utility of output from the accelerometers, gyroscope and geomagnetic sensors. Compatible with Android and Windows 8-based mobile products, Sentral delivers the most accurate (2º of heading accuracy), real-time motion-related data available.

Further, because it is designed and built specifically to meet the power needs of the mobile market, the Sentral IC is ultra-low-power and consumes less than 10% of the power used by other CPUs performing the task of sensor fusion. It maximizes battery life even for applications that need constant processing of sensor data.

Sentral makes sensor implementation more practical as well. It can support a wide variety of gyros, accels and magnetic sensors from multiple and changing vendors. This allows for separate placement of the sensors, and manufacturers are not tied to a single source or sensor type. Because it uses a mere 800mAmps of power in normal operation, it frees up valuable CPU power and battery life, and with a mere 1.6×1.6×0.5mm footprint, it takes up virtually no space.

Click here for more MEMS news