Category Archives: Packaging and Testing

By Jay Chittooran, SEMI Public Policy

Following through on his 2016 campaign promise, President Trump is implementing trade policies that buck conventional wisdom in Washington, D.C. and among U.S. businesses. Stiff tariffs and the dismantling of longstanding trade agreements – cornerstones of these new actions – will ripple through the semiconductor industry with particularly damaging effect. China, a chief target of criticism from President Trump, has again found itself in the crosshairs of the administration, with trade tensions rising to a fever pitch.

The Trump Administration has long criticized China for what it considers unfair trade practices, often zeroing in on intellectual property. In August 2017, the Office of the U.S. Trade Representative (USTR), charged with developing and recommending U.S trade policy to the president, launched a Section 301 investigation into whether China’s practice of forced technology transfer has discriminated against U.S. firms. As the probe continues, it is becoming increasingly clear that the United States will impose tariffs on China based on its current findings. Reports suggest that the tariffs could come soon, hitting a range of products from consumer electronics to toys. Other measures could include tightening restrictions on the trade of dual-use goods – those with both commercial and military applications – curbing Chinese investment in the United States, and imposing strict limits on the number of visas issued to Chinese citizens.

With China a major and intensifying force in the semiconductor supply chain, raising tariffs hangs like the Sword of Damocles over the U.S. and global economies. A tariff-ignited trade war with China could stifle innovation, undermine the long-term health of the semiconductor industry, and lead to unintended consequences such as higher consumer prices, lower productivity, job losses and, on a global scale, a brake on economic growth.

Other recently announced U.S. trade actions could also cloud the future for semiconductor companies. The Trump administration, based on two separate Section 232 investigations claiming that overproduction of both steel and aluminum are a threat to U.S. national security, recently levied a series of tariffs and quotas on every country except Canada and Mexico. While these tariffs have yet to take effect, the mere prospect has angered U.S. trading partners – most notably Korea, the European Union and China. Several countries have threatened retaliatory action and others have taken their case to the World Trade Organization.

Trade is oxygen to the semiconductor industry, which grew by nearly 30 percent last year and is expected to be valued at an estimated $1 trillion by 2030. Make no mistake: SEMI fully supports efforts to buttress intellectual property protections. However, the Trump administration’s unfolding trade policy could antagonize U.S. trade partners.

For its part, SEMI is weighing in with USTR on these issues, underscoring the critical importance of trade to the semiconductor industry as we educate policymakers on trade barriers to industry growth and encourage unobstructed cross-border commerce to advance semiconductors and the emerging technologies they enable. On behalf of our members, we continue our work to increase global market access and lessen the regulatory burden on global trade. If you are interested in more information on trade, or how to be involved in SEMI’s public policy program, please contact Jay Chittooran, Public Policy Manager, at [email protected].

Originally published on the SEMI blog.

SEMICON West, the flagship U.S. event for connecting the electronics manufacturing supply chain, has opened registration for the July 10-12, 2018, exposition at the Moscone Center in San Francisco, California. Building on a year of record-breaking industry growth, SEMICON West 2018 will highlight the engines of future industry expansion including smart transportation, smart manufacturing, smart medtech, smart data, big data, artificial intelligence, blockchain and the Internet of Things (IoT). Click here to register.

Themed BEYOND SMART, SEMICON West 2018 sets it sights on the growing impact of cognitive learning technologies and other industry disruptors with programs and new Smart Pavilions including Smart Manufacturing and Smart Transportation to showcase interactive technologies for immersive, virtual experiences. Each Pavilion will feature a Meet the Experts Theater with an intimate setting for attendees to engage informally with industry thought leaders.

Smart Workforce Pavilion: Connecting Next-Generation Talent with the Microelectronics Industry

The SEMI Smart Workforce Pavilion at SEMICON West 2018 leverages the largest microelectronic manufacturing event in North America to draw the next generation of innovators. Reliant on a highly skilled workforce, the industry today is saddled with thousands of job openings and fierce competition for workers, bringing renewed focus to strengthening its talent pipeline. Educational and engaging, the Pavilion connects the microelectronics industry with college students and entry-level professionals interested in career opportunities.

In the Workforce Pavilion “Meet the Experts” Theater, industry engineers will share insights and inspiration about their personal working experiences and career advisors will offer best practices. Recruiters from top companies will be available for on-the-spot interviews, while career coaches offer mentoring, tips on cover letter and resume writing, job-search guidance, and more. Visitors will learn more about the industry’s vital role in technological innovation in today’s connected world.

This year, SEMI will also host High Tech U (HTU) in conjunction with the SEMICON West Smart Workforce Pavilion. The highly-interactive program supported by Advantest, Edwards, KLA-Tencor and TEL exposes high school students to STEM education pathways and stimulates excitement about careers in the industry.

Free registration with three-day access and shuttle service to SEMICON West are available to all college students. Students are encouraged to register for the mentor program, attend keynotes and tour the exposition hall to see everything the industry has to offer.  To learn more, visit Smart Workforce Pavilion and College Track to preview how students can enter to win a $500 hiring bonus!

Three Ways to Experience the Expo

Attendees can tailor their SEMICON West experience to meet their specific interests. The All-In pass covers every program and event, while the Thought-Leadership and Expo-Only packages offer scaled pricing and program options. Attendees can also purchase select events and programs à la carte, including exclusive IEEE-sponsored sessions, the SEMI Market Symposium, and the STEM Rocks After-hours Party, a fundraising event to support the SEMI Foundation.

The ConFab — an executive invitation-only conference now in its 14th year — brings together influential decision-makers from all parts of the semiconductor supply chain for three days of thought-provoking talks and panel discussions, networking events and select, pre-arranged breakout business meetings.

In the 2018 program, we will take a close look at the new applications driving the semiconductor industry, the technology that will be required at the device and process level to meet new demands, and the kind of strategic collaboration that will be required. It is this combination of business, technology and social interactions that make the conference so unique and so valuable. Browse this slideshow for a look at this year’s speakers, keynotes, panel discussions, and special guests.

Visit The ConFab’s website for a look at the full, three-day agenda for this year’s event.

KEYNOTE: How AI is Driving the New Semiconductor Era

Rama Divakaruni_June_2014presented by Rama Divakaruni, Advanced Process Technology Research Lead, IBM

The exciting results of AI have been fueled by the exponential growth in data, the widespread availability of increased compute power, and advances in algorithms. Continued progress in AI – now in its infancy – will require major innovation across the computing stack, dramatically affecting logic, memory, storage, and communication. Already the influence of AI is apparent at the system-level by trends such as heterogeneous processing with GPUs and accelerators, and memories with very high bandwidth connectivity to the processor. The next stages will involve elements which exploit characteristics that benefit AI workloads, such as reduced precision and in-memory computation. Further in time, analog devices that can combine memory and computation, and thus minimize the latency and energy expenditure of data movement, offer the promise of orders of magnitude power-performance improvements for AI workloads. Thus, the future of AI will depend instrumentally on advances in devices and packaging, which in turn will rely fundamentally on materials innovations.

Synopsys, Inc. (Nasdaq: SNPS) today announced it is hosting an advanced-technology panel on “EUV, High-NA, Metallurgy and FinFET++ – Where We Go from Here for Next-Generation Design” at the Synopsys Users Group (SNUG®) Silicon Valley event on Thursday, March 22, at the Santa Clara Convention Center in Santa Clara, California.

The panel will bring together prominent industry leaders from ASML, Inc., Samsung Foundry, and Qualcomm, Inc. (representing the perspectives of manufacturing, foundry, and end-user design, respectively) to discuss the challenges, opportunities and technology roadmaps inherent in driving system-on-chip (SoC) solutions beyond the 5nm process node. EDA representatives from Synopsys will include Dr. Henry Sheng, group director of R&D in the Silicon Design Group, and Dr. Victor Moroz, Synopsys Fellow in the Silicon Engineering Group.

Since 1991, SNUG has represented a global design community focused on innovating from Silicon to Software. Today, as the electronics industry’s largest user conference, SNUG brings together nearly 10,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical papers and insightful keynotes from industry leaders, the exclusive SNUG events provide a unique opportunity to connect with Synopsys executives, design ecosystem partners, and members of the local design community.

Historically, the DRAM market has been the most volatile of the major IC product segments.  A good example of this was displayed over the past two years when the DRAM market declined 8% in 2016 only to surge by 77% in 2017! The March Update to the 2018 McClean Report (to be released later this month) will fully detail IC Insights’ latest forecast for the 2018 DRAM and total IC markets.

In the 34-year period from 1978-2012, the DRAM price-per-bit declined by an average annual rate of 33%. However, from 2012 through 2017, the average DRAM price-per-bit decline was only 3% per year! Moreover, the 47% full-year 2017 jump in the price-per-bit of DRAM was the largest annual increase since 1978, surpassing the previous high of 45% registered 30 years ago in 1988!

In 2017, DRAM bit volume growth was 20%, half the 40% rate of increase registered in 2016.  For 2018, each of the three major DRAM producers (e.g., Samsung, SK Hynix, and Micron) have stated that they expect DRAM bit volume growth to once again be about 20%.  However, as shown in Figure 1, monthly year-over-year DRAM bit volume growth averaged only 13% over the nine-month period of May 2017 through January 2018.

Figure 1 also plots the monthly price-per-Gb of DRAM from January of 2017 through January of 2018.  As shown, the DRAM price-per-Gb has been on a steep rise, with prices being 47% higher in January 2018 as compared to one year earlier in January 2017.  There is little doubt that electronic system manufacturers are currently scrambling to adjust and adapt to the skyrocketing cost of memory.

DRAM is usually considered a commodity like oil.  Like most commodities, there is elasticity of demand associated with the product.  For example, when oil prices are low, many consumers purchase big SUVs, with little concern for the vehicle’s miles-per-gallon efficiency.  However, when oil prices are high, consumers typically look toward smaller or alternative energy (e.g., hybrid or fully electric) options.

Figure 1

Figure 1

While difficult to precisely measure, it is IC Insights’ opinion that DRAM bit volume usage is also affected by elasticity, whereby increased costs inhibit demand and lower costs expand usage and open up new applications.  As shown in Figure 1, the correlation coefficient between the DRAM price-per-bit and the year-over-year bit volume increase from January 2017 through January 2018 was a strong -0.88 (a perfect correlation between two factors moving in the opposite direction would be -1.0).  Thus, while system manufacturers are not scaling back DRAM usage in systems currently shipping, there have been numerous rumors of some smartphone producers scaling back DRAM in next-generation models (i.e., incorporating 4GB of DRAM per smartphone instead of 5GB).

In 2018, IC Insights believes that the major DRAM suppliers will be walking a fine line between making their shareholders even happier than they are right now and further alienating their customer base.  If, and it is a BIG if, the startup Chinese DRAM producers can field a competitive product over the next couple of years, DRAM users could flock to these new suppliers in an attempt to get out from under the crushing price increases now being thrust upon them—with the “payback” to the current major DRAM suppliers being severe.

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Enabling the AI Era with Materials Engineering

Screen Shot 2018-03-05 at 12.24.49 PMPrabu Raja, Senior Vice President, Semiconductor Products Group, Applied Materials

A broad set of emerging market trends such as IoT, Big Data, Industry 4.0, VR/AR/MR, and autonomous vehicles is accelerating the transformative era of Artificial Intelligence (AI). AI, when employed in the cloud and in the edge, will usher in the age of “Smart Everything” from automobiles, to planes, factories, buildings, and our homes, bringing fundamental changes to the way we live

Semiconductors and semiconductor processing technol- ogies will play a key enabling role in the AI revolution. The increasing need for greater computing perfor- mance to handle Deep Learning/Machine Learning workloads requires new processor architectures beyond traditional CPUs, such as GPUs, FPGAs and TPUs, along with new packaging solutions that employ high-density DRAM for higher memory bandwidth and reduced latency. Edge AI computing will require processors that balance the performance and power equation given their dependency on battery life. The exploding demand for data storage is driving adoption of 3D NAND SSDs in cloud servers with the roadmap for continued storage density increase every year.

In 2018, we will see the volume ramp of 10nm/7nm devices in Logic/Foundry to address the higher performance needs. Interconnect and patterning areas present a myriad of challenges best addressed by new materials and materials engineering technologies. In Inter- connect, cobalt is being used as a copper replacement metal in the lower level wiring layers to address the ever growing resistance problem. The introduction of Cobalt constitutes the biggest material change in the back-end-of-line in the past 15 years. In addition to its role as the conductor metal, cobalt serves two other critical functions – as a metal capping film for electro- migration control and as a seed layer for enhancing gapfill inside the narrow vias and trenches.

In patterning, spacer-based double patterning and quad patterning approaches are enabling the continued shrink of device features. These schemes require advanced precision deposition and etch technologies for reduced variability and greater pattern fidelity. Besides conventional Etch, new selective materials removal technologies are being increasingly adopted for their unique capabilities to deliver damage- and residue-free extreme selective processing. New e-beam inspection and metrology capabilities are also needed to analyze the fine pitch patterned structures. Looking ahead to the 5nm and 3nm nodes, placement or layer-to-layer vertical alignment of features will become a major industry challenge that can be primarily solved through materials engineering and self-aligned structures. EUV lithography is on the horizon for industry adoption in 2019 and beyond, and we expect 20 percent of layers to make the migration to EUV while the remaining 80 percent will use spacer multi- patterning approaches. EUV patterning also requires new materials in hardmasks/underlayer films and new etch solutions for line-edge-roughness problems.

Packaging is a key enabler for AI performance and is poised for strong growth in the coming years. Stacking DRAM chips together in a 3D TSV scheme helps bring High Bandwidth Memory (HBM) to market; these chips are further packaged with the GPU in a 2.5D interposer design to bring compute and memory together for a big increase in performance.

In 2018, we expect DRAM chipmakers to continue their device scaling to the 1Xnm node for volume production. We also see adoption of higher perfor- mance logic technologies on the horizon for the periphery transistors to enable advanced perfor- mance at lower power.

3D NAND manufacturers continue to pursue multiple approaches for vertical scaling, including more pairs, multi-tiers or new schemes such as CMOS under array for increased storage density. The industry migration from 64 pairs to 96 pairs is expected in 2018. Etch (high aspect ratio), dielectric films (for gate stacks and hardmasks) along with integrated etch and CVD solutions (for high aspect ratio processing) will be critical enabling technologies.

In summary, we see incredible inflections in new processor architectures, next-generation devices, and packaging schemes to enable the AI era. New materials and materials engineering solutions are at the very heart of it and will play a critical role across all device segments.

BY AJIT MANOCHA, President and CEO of SEMI

2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth. The equipment industry surpassed revenue levels last reached in the year 2000. Semicon- ductor equipment posted sales of nearly $56B and semiconductor materials $48B in 2017. For semiconductor equipment, this was a giant 36 percent year-over-year growth. Samsung, alone, invested $26B in semiconductor CapEx in 2017 – an incredible single year spend in an incredible year.

MEMS and Sensors gained new growth in telecom and medical markets, adding to existing demand from automotive, industrial and consumer segments. MEMS is forecast to be a $19B industry in 2018. Flexible hybrid electronics (FHE) is also experiencing significant product design and functionality growth with increasing gains in widespread adoption.

No longer isa single monolithic demand driver propelling the electronics manufacturing supply chain. The rapidly expanding digital economy continues to foster innovation with new demand from the IoT, virtual and augmented reality (VR/AR), automobile infotainment and driver assistance, artificial intelligence (AI) and Big Data, among others. With the explosion in data usage, memory demand is nearly insatiable, holding memory device ASPs high and prompting continued heavy investment in new capacity.

2018 is forecast to be another terrific year. IC revenues are expected to increase another 8 percent and semiconductor equipment will grow 11 percent. With diverse digital economy demand continuing, additional manufacturing capacity is being added in China as fab projects come on line to develop and increase the indigenous semiconductor supply chain.

So, why worry?

The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Can the industry sustain this growth? The electronics manufacturing supply chain has demonstrated it can generally scale and expedite production to meet the massive new investment projects. The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Talent has become a pinch point. In Silicon Valley alone, SEMI member companies have thousands of open positions. Globally, there are more than 10,000 open jobs. Attracting new candidates and developing a global workforce are critical to sustaining the pace of innovation and growth.
Data management and effective data sharing are keys to solving problems faster and making practical novel but immature processes at the leading edge. It is ironic that other industries are ahead of semiconductor manufac- turing in harnessing manufacturing data and leveraging AI across their supply chains. Without collaborative Smart Data approaches, there is jeopardy of decreasing the cadence of Moore’s Law below the 10 nm node.

EH&S is critical for an industry that now uses the majority of the elements of the periodic table to make chips – at rates of more than 50,000 wafer starts per month (wspm) for a single fab. The industry came together strongly in the 1990s to develop SEMI Safety Standards and compliance methodologies. Since then, the number of EH&S profes- sionals engaged in our industry has declined while the number of new materials has exploded, new processing techniques have been developed, and manufacturing is expanding across China in areas with no prior semicon- ductor manufacturing experience.

HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field.

To ensure we don’t slow growth, the industry will need to work together in 2018 in these three key areas:

Talent development needs to rapidly accelerate by expanding currently working programs and adding additional means to fill the talent funnel. The SEMI Foundation’s High Tech University (HTU) works globally with member companies to increase the number of high school students selecting Science, Technology, Engineering, and Math (STEM) fields – and provides orientation to the semiconductor manufacturing industry. HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field. SEMI will increase the number of HTU sessions in 2018.

Plans have already been approved by SEMI’s Board of Directors to work together with SEMI’s membership to leverage existing, and pioneer new, workforce development programs to attract and develop qualified candidates from across the age and experience spectrum (high school through university, diversity, etc.). Additionally, an industry awareness campaign will be developed and launched to make more potential candidates attracted to our member companies as a great career choice. I’ll be providing you with updates on this initiative – and asking for your involvement
– throughout 2018.

Data management is a broad term. Big Data, machine learning, AI are terms that today mean different things to different people in our supply chain. What is clear is that to act together and take advantage of the unimaginable amounts of data being generating to produce materials and make semiconductor devices with the diverse equipment sets across our fabs, we need a common understanding of the data and potential use of the data.

In 2018, SEMI will launch a Smart Data vertical application platform to engage stakeholders along the supply chain to produce a common language, develop Standards, and align expectations for sharing data for mutual benefit. Bench- marking of other industries and pre-competitive pilot programs are being proposed to learn and, here too, we need the support and engagement of thought leaders throughout SEMI’s membership.

EH&S activity must intensify to maintain safe operations and to eliminate business interruptions from supply chain disruptions. There is potential for disruptions from material bans such as the Stockholm Convention action on PFOA and arising from the much wider range of chemicals and materials being used in advanced manufacturing. Being able to reliably identify these in time to guide and coordinate industry action will take a reinvigorated SEMI EH&S stewardship and membership engagement.

As China rapidly develops new fabs in many provinces – some with only limited prior experience and infrastructure – SEMI EH&S Standards orientation and training will accelerate the safe and sustainable operation of fabs, enabling them to keep pace with the ambitious growth trajectory our industry is delivering. In 2018, we’ll be looking for a renewed commitment to EH&S and sustainability for the budding challenges of new materials, methods, and emerging regions.

Remarkable results from a remarkable membership

Thank you all for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

In a quick review of 2017, I would like to thank SEMI’s members for their incredible results and new revenue records. Foundational to that, SEMI’s members have worked together with SEMI to connect, collaborate, and innovate to increase growth and prosperity for the industry. These founda- tional contributions have been in expositions, programs, Standards, market data, messaging (communications), and workforce development (with HTU).

The infographic below captures these foundational accom- plishments altogether. SEMI strives to speed the time to better business results for its members across the global electronics manufacturing supply chain. To do so, SEMI is dependent upon, and grateful for, the support and volunteer efforts of its membership. Thank you for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

Researchers have, for the first time, integrated two technologies widely used in applications such as optical communications, bio-imaging and Light Detection and Ranging (LIDAR) systems that scan the surroundings of self-driving cars and trucks.

In the collaborative effort between the U.S. Department of Energy’s (DOE) Argonne National Laboratory and Harvard University, researchers successfully crafted a metasurface-based lens atop a Micro-Electro-Mechanical System (MEMS) platform. The result is a new infrared light-focusing system that combines the best features of both technologies while reducing the size of the optical system.

This image gives a close-up view of a metasurface-based flat lens (square piece) integrated onto a MEMS scanner. Integration of MEMS devices with metalenses will help manipulate light in sensors by combining the strengths of high-speed dynamic control and precise spatial manipulation of wave fronts.This image was taken with an optical microscope at Argonne's Center for Nanoscale Materials. Credit: Argonne National Laboratory

This image gives a close-up view of a metasurface-based flat lens (square piece) integrated onto a MEMS scanner. Integration of MEMS devices with metalenses will help manipulate light in sensors by combining the strengths of high-speed dynamic control and precise spatial manipulation of wave fronts.This image was taken with an optical microscope at Argonne’s Center for Nanoscale Materials. Credit: Argonne National Laboratory

Metasurfaces can be structured at the nanoscale to work like lenses. These metalenses were pioneered by Federico Capasso, Harvard’s Robert L. Wallace Professor of Applied Physics, and his group at the Harvard John A. Paulson School of Engineering and Applied Sciences (SEAS). The lenses are rapidly finding applications because they are much thinner and less bulky than existing lenses, and can be made with the same technology used to fabricate computer chips. The MEMSs, meanwhile, are small mechanical devices that consist of tiny, movable mirrors.

“These devices are key today for many technologies. They have become technologically pervasive and have been adopted for everything from activating automobile air bags to the global positioning systems of smart phones,” said Daniel Lopez, Nanofabrication and Devices Group Leader at Argonne’s Center for Nanoscale Materials, a DOE Office of Science User Facility.

Lopez, Capasso and four co-authors describe how they fabricated and tested their new device in an article in APL Photonics, titled “Dynamic metasurface lens based on MEMS technology.” The device measures 900 microns in diameter and 10 microns in thickness (a human hair is approximately 50 microns thick).

The collaboration’s ongoing work to further develop novel applications for the two technologies is conducted at Argonne’s Center for Nanoscale Materials, SEAS and the Harvard Center for Nanoscale Systems, which is part of the National Nanotechnology Coordinated Infrastructure.

In the technologically merged optical system, MEMS mirrors reflect scanned light, which the metalens then focuses without the need for an additional optical component such as a focusing lens. The challenge that the Argonne/Harvard team overcame was to integrate the two technologies without hurting their performance.

The eventual goal would be to fabricate all components of an optical system — the MEMS, the light source and the metasurface-based optics — with the same technology used to manufacture electronics today.

“Then, in principle, optical systems could be made as thin as credit cards,” Lopez said.

These lens-on-MEMS devices could advance the LIDAR systems used to guide self-driving cars. Current LIDAR systems, which scan for obstacles in their immediate proximity, are, by contrast, several feet in diameter.

“You need specific, big, bulky lenses, and you need mechanical objects to move them around, which is slow and expensive,” said Lopez.

“This first successful integration of metalenses and MEMS, made possible by their highly compatible technologies, will bring high speed and agility to optical systems, as well unprecedented functionalities,” said Capasso.

A global gathering of more than 650 industry and academic experts, including 140 speakers and 56 exhibitors, shared the latest advancements in both flexible hybrid electronics (FHE) and in microelectromechanical systems (MEMS) and sensors at 2018FLEX and MEMS & Sensors Technical Congress (MSTC). Hosted by SEMI strategic association partners, FlexTech and MEMS & Sensors Industry Group (MSIG), the events presented technologies, integration strategies and packaging/process methodologies that are advancing human-machine interaction in health monitoring and the treatment of illness, automotive systems, consumer electronics, Internet of Things (IoT) and industrial applications.

Speakers offered fascinating views of emerging FHE applications, including:

  • Cortera Neurotechnologies Co-founder and CTO Rikky Muller described how her company is replacing existing large wired sensors with small, minimally invasive thin biomaterials that interact more naturally with the neural cortex. Cortera Neurotechnologies’ devices will be used to treat neurological disease and psychiatric illnesses such as major depressive disorder. “I think we need biological invisibility,” said Muller. “We need materials and form factors that cause no reaction in the human body at all. We need stability and longevity, since we need these devices to outlive us.”
  • Auburn University MacFarlane Endowed Professor & Director Pradeep Lall called his department’s AU-CAVE3 Biometric Sensor Band with LifeSaver App a “guardian angel” that autonomously monitors patients without human interaction and can even call 9-1-1.
  • NASA Ames Research Center Chief Scientist for Exploration Technology Meyya Meyyappansaid that 3D printed electronics will support a multi-material “FabLab” on the International Space Station for repairing or replacing failed devices. “This will free scientists from having to send living supplies back and forth between the ISS and earth at a cost savings of up to $10,000 per pound,” he said.

Synergies and Integration Potential

SEMI for the first time co-located 2018FLEX with MSTC, which allowed attendees to explore potential synergies between the component-level technologies of MEMS/sensors and the more wide-reaching integration technologies of FHE. Longtime MSIG Members Mary Ann Maher, CEO of SoftMEMS, and Chip Spangler, president of Aspen Microsystems, offered a popular short-course on the integration of MEMS sensors and actuators with FHE electronics (FHE). “MEMS integrated with FHE offers distinct advantages for wearables and implantable devices, for example, which require conformal and flexible substrates and interconnections and small, accurate form-factor sensors,” said Maher.

Spangler gave the example of a prosthetic eye, saying, “Because the device must fit the form factor of an eyeball, flex circuits are used to make the antenna that connects to an external camera — which is outside the field of view — as well as to the optic nerve. FHE both facilitates the manufacture of the prosthetic eye and allows it to fit within the confined space of the eye socket.”

Awards and Recognitions

SEMI announced the recipients of its annual FLEXI Awards on February 13, 2018, lauding innovators in categories of R&D Achievements, Product Innovation and Commercialization, Education Leadership, and Industry Leadership. (See press release, “2018 FLEXI Awards Innovation and Leadership in Flexible Hybrid Electronics, February 13, 2018.)

SEMI announced the appointment of Frank A. Shemansky, Jr., Ph.D., as executive director and chief technology officer (CTO) of MSIG. Shemansky brings more than 25 years’ experience in microelectronics to MSIG, where he will now direct global activities. (See press release, Frank Shemansky to Lead SEMI’s MEMS & Sensors Industry Group, February 13, 2018.)

SEMI also recognized the “Innovators of Tomorrow” with its student poster session competition: Jonathan Ting, UC Berkeley: “Fully Screen-printed NiO Thermister Arrays;” Telha Alcagyazi, North Carolina State University: “Multi-modal Array Sensing with Textiles;” and Levent E. Ayguh, Princeton University: “Sound Identification Using Physically Expansive Sensing System.”

Entering 2018 on solid ground


February 22, 2018

By Walt Custer, Custer Consulting Group

2017 finished on an upturn – both in the USA and globally.  Based on consolidated fourth-quarter actual and estimated revenues of 213 large, global electronic manufactures, sales rose in excess of 7 percent in 4Q’17 vs. 4Q’16 (Chart 1).  This was the highest global electronic equipment sales growth rate since the third quarter of 2011. Because some companies in our sample didn’t close their financial quarter until the end of January, final results will take a few more weeks – but all evidence points to a very strong fourth quarter of last year.

Custer1-Electronic-Equipment

 

Using regional (country specific) data (Chart 2), the normal, consumer electronics driven seasonal downturn began again in January.  However the recent year-over-year growth is still substantial.  On a total electronic equipment revenue basis, January 2018 was up almost 19.5 percent over January 2017.

Custer2-World-Electronic

Because this regional data in local currencies was converted to U.S. dollars at fluctuating exchange, the dollar denominated-growth was amplified by currency exchange effects.  At constant exchange the January growth was only 14 percent.   That is, when the stronger non-U.S. currencies were converted to weakening dollars, the dollar-denominated January 2018 fluctuating exchange growth was amplified by 5.5 percent.

Chart 3 shows 4Q’17/4Q’16 growth of the domestic electronic supply chain.  U.S. electronic equipment shipments were up 9.1 percent.  Only computer equipment and non-defense aircraft sales declined in the fourth quarter.  And of note, SEMI equipment shipments to North America rose almost 31 percent!

Custer3-US-Electronic-Supply

 

Chart 4 shows estimated fourth-quarter growth for the world electronic supply chain.  Only “Business & Office” equipment revenues declined in 4Q’17 vs. 4Q’16.

Custer4-Global-Electronic

Total global electronic equipment sales increased more than 7 percent in the fourth quarter and SEMI equipment revenues rose 32 percent.

2017 was a strong year and 2018 is off to a good start!  The 2017 lofty growth rates will temper, but this current expansion will likely continue.  Watch the monthly numbers!

Originally published on the SEMI blog.