Category Archives: Packaging and Testing

October 26, 2011 — North Dakota State University, Fargo, researchers have developed a packaging technology using Thermo-Mechanical Selective Laser Assisted Die Transfer (tmSLADT) to reduce the size and cost of microelectronics packages.

Laser-Enabled Advanced Packaging (LEAP) can be scaled to high volumes, and is a contactless assembly method for ultrathin semiconductor chips onto rigid and flexible substrates. NDSU researchers have successfully implemented LEAP to fabricate a functional electronic device on a flexible substrate.

Figure 1. Microelectronics packaging developed at North Dakota State University, Fargo. Laser-Enabled Advanced Packaging (LEAP) uses Thermo-Mechanical Selective Laser Assisted Die Transfer (tmSLADT).

The technology has been under development by the Advanced Electronics Packaging research group at the North Dakota State University Center for Nanoscale Science and Engineering (CNSE), Fargo, N. D., since 2008. The research group is led by Dr. Val Marinov, associate professor of manufacturing engineering; and includes Dr. Orven Swenson, associate professor of physics at NDSU; Ross Miller, research engineer apprentice; and CNSE research staff, graduate students and undergraduate research assistants.

The laser-assisted packaging process selectively and rapidly places ultra-thin (<50

October 21, 2011 — Imec engineers fabricated <1 picoliter sealed cavities directly on 200mm silicon wafers, using freestanding thin membranes of nanoporous anodic alumina (PAA). The thin-film vacuum wafer processing technology can package micro electro mechanical systems (MEMS) at the wafer level with strong, hermetic results.

Traditional thin-film wafer-level MEMS packaging techniques use lithography-defined release holes to remove the sacrificial layer and form the microcavity between the MEMS and the capping layer, potentially enabling sealing material to leak inside the cavity, onto the MEMS structures.

In Imec’s MEMS packaging method, nanopores serve as release etch holes. The cap layer with nanopores is a freestanding PAA membrane around 2-3µm thick. The cylindrical nanopores boast a 15-20nm diameter, creating an aspect ratio of >100. The high aspect ratio lets these holes close very rapidly during the first stage of sealing.

Figure. Scanning electron microscopy (SEM) images of empty PAA-based thin-film packages, together with cross-section schematics (a-e) showing the process steps.

First, a 3µm chemical vapor deposition (CVD) oxide sacrificial layer is deposited, patterned to obtain anchors and supporting pillars for the package. Next, a 1-1.5µm aluminium layer is sputtered. This layer is anodized, using a mask to define the microcavity areas. PAA membranes are typically 50% thicker than the original aluminium layer. Next, the sacrificial oxide layer under the membranes is etched away by exposing the wafer to HF vapour. Finally, the packages are sealed using a 4µm plasma-enhanced CVD (PECVD) silicon nitride layer.

Imec aimed to perform thin-film wafer-level MEMS packaging with reduced complexity. The wafer-level packaging (WLP) process takes place at low temperatures. It is reportedly simple, non-organic, and RF-compatible (the packages have a negligible impact on RF transmission for signal frequencies up to 67GHz). The dielectric caps are optically transparent, suiting optical MEMS applications.

Imec performs world-leading research in nanoelectronics. Further information on imec can be found at www.imec.be. Also read: Imec: First poly-SiGe MEMS on Cu-backend CMOS

Subscribe to our MEMS Direct newsletter

October 19, 2011 — Nanotech accelerator SVTC Technologies, wet chem equipment maker Amerimade Technology, and chemicals company Shanghai Sinyang Semiconductor Materials will collaborate on electroplating processes for through-silicon via (TSV) that are production-ready for advanced packages and micro electro mechanical systems (MEMS).

By combining a commercialization specialist with tool and materials providers, this partnership will be able to develop new electroplated film processes for TSV in over 95,000 square feet of state-of-the-art cleanroom, staffed 24/7 with SVTC’s engineering team, electroplating toolsets from Amerimade, and chemical formulae from Shanghai Sinyang.

The aim is a commercially viable process for electroplating through-silicon via interconnects that can be ramped up to volume production at advanced packaging houses, semiconductor manufacturers, and MEMS fabrication and packaging facilities.

Shanghai Sinyang Semiconductor Materials Co. Ltd. provides research and development, design, and manufacturing of advanced chemicals for the electronics industry, specifically for semiconductor manufacturing, packaging test and assembly, solar cell manufacturing, and avionics. More information can be found at www.sinyang.com.cn.

Amerimade Technology Inc. designs, manufactures and provides long-term field support for wet chemical processing systems. More information can be found at www.amerimade.com.

SVTC Technologies provides development and commercialization services for innovative semiconductor process-based technologies and products, cost effectively and in an IP-secure manner. More information can be found at www.svtc.com.

Subscribe to Solid State Technology/Advanced Packaging

Subscribe to our MEMS Direct newsletter

October 11, 2011 – PRNewswire — MEMS supplier STMicroelectronics (NYSE:STM) has implemented through-silicon vias (TSV) in high-volume micro electro mechanical system (MEMS) devices. ST is using TSV in its smart sensors and multi-axis inertial modules.

The leading-edge packaging technology creates short vertical interconnects instead of wire bonds in ST’s multi-chip MEMS devices.

ST’s Benedetto Vigna, corporate VP and GM of ST’s Analog, MEMS and Sensor Group, reports that its high-volume MEMS packages using TSV are smaller, suiting the needs of consumer products integrating MEMS, such as smartphones.

STMicroelectronics has been producing high-volume MEMS devices for the consumer electronics sector for 5 years. More than 1.6 billion ST MEMS chips has been sold to date.

Also read: MEMS motion sensors’ continuing evolution in commercial markets by Jalinous (Jay) Esfandyari, STMicroelectronics, in association with MEMS Industry Group (MIG)

STMicroelectronics supplies semiconductors for multimedia convergence and power applications. Further information on ST can be found at www.st.com.

Subscribe to our MEMS Direct newsletter

October 6, 2011 — Thanks to MEMS, 3D packaging, LEDs, power devices, and other applications, thinned wafers will be the majority of wafers in the market by 2016, according to Yole D

September 22, 2011 — MEMS devices are proliferating in new applications and replacing existing technologies, or used as a way to combine functions, says Yole Développement in its latest "Status of the MEMS Industry." This growth is driving new industry partnerships and a structural change to the MEMS industrial supply chain.

Expect a 15% CAGR 2010-2016 in MEMS revenues, and 24% CAGR in units shipped, said Dr. Eric Mounier, Yole Développement. The MEMS market hit $8.7 billion in 2010, shipping 4.3 billion devices. By 2016, these numbers will reach $19.6 billion and 15.8 billion units.

The consumer market is still driving the lion’s share of consumption (46% of the total market in value), Mounier added.

MEMS supply chain

The MEMS business is maturing, moving from a highly fragmented industry to a few large suppliers: 21 players above $100M in sales in 2010. The big players get bigger (e.g. Bosch, ST, Panasonic) as they capitalize on economies of scale. Smaller players are having a hard time competing, but there is still room for specialized companies. "AKM, Knowles, TI and Inkjet companies make a decent business with only one product. Because the business is maturing, others can specialize in one part of the supply chain," explained Laurent Robin, Yole Développement.

Also read: MEMS "transition period" toward market maturity evident in mobile boom

Most of the top 30 MEMS companies are integrated manufacturing companies; an increasing number of those big companies now offer foundry services. Others are becoming fab-light, outsourcing consumer devices or specific parts of the process. Only 2 fabless companies are among the top 20 MEMS companies (Knowles and InvenSense) while many fab-light companies are present (HP, Freescale, AD, Lexmark, Infineon, VTI). Fabless companies in growth stages now could become players in the near future.

In the coming years, players involved in high-value and automotive markets will likely keep their internal fabs; existing consumer-market players will easily outsource production; and consumer players with internal fabs will have to drastically increase their market shares to survive and support the infrastructure costs.

MEMS foundries will have to reach a critical volume to be stable — developing new device offers or selling to additional customers. MEMS foundries born of the semiconductor industry will only target high-volume applications where the number of processes is limited.

MEMS applications

MEMS devices can be replacements (e.g. microphones); new (e.g. micro-mirror, RF MEMS tunable antenna); or combination of functions (e.g. IMUs). New partnerships are necessary in the MEMS industry as functionalities develop.

Structural changes of the industrial supply chain are occurring as fragmentation continues. New intermediate business models are cropping up between MEMS foundries and IDMs: some IDMs specialize in producing MEMS wafers with their own design; some MEMS foundries are developing product platforms with their own design as well. Multi-chip module (MCM), which began in the MEMS industry with inertial modules, add challenges with integration, software and supply chain decisions. These combo sensors will represent a large slice of the MEMS market in 2016, integrated into gaming, cellphone, tablet, and PMP apps.

In the microphone business, some players are processing wafers while others are focusing on packaging and selling the device. Infineon has turned into a microphone die supplier and works with Asian MEMS microphone players: AAC Acoustics, Hosiden, BSE, Goertek, etc. Other companies are trying to become microphone manufacturers instead of just foundries, like MEMSTech and Omron.

For bolometers, camera cores (module with detector) are increasingly becoming a key business for camera manufacturers (FLIR and DRS propose new cores in 2011). This will further facilitate infrared detector integration and adoption by new camera players.

Yole Développement’s annual "Status of the MEMS Industry" was overhauled this year for the 2011 edition on MEMS device markets, key player strategies, key industry changes and trends including foundries business evolution. It also includes MEMS equipment forecast and major MEMS manufacturing evolutions.

Status of the MEMS Industry report (MIS) authors:
Dr. Eric Mounier has a PhD in microelectronics from the INPG in Grenoble. He previously worked at CEA LETI R&D lab in Grenoble, France in Marketing dept. Since 1998 he is a co-founder of Yole Developpement, a market research company based in France. At Yole Developpement, Dr. Eric Mounier is in charge of market analysis for MEMS, equipment & material. He is Chief Editor of Micronews, and MEMS’Trends magazines (Magazine on MEMS Technologies & Markets).

Laurent Robin is in charge of the MEMS & Sensors market research at Yole Developpement. He previously worked at image sensor company e2v Technologies (Grenoble, France) and at EM Microelectronics (Switzerland). He holds a Physics Engineering degree from the National Institute of Applied Sciences in Toulouse. He was also granted a Master Degree in Technology & Innovation Management from EM Lyon Business School, France.

Companies cited in the report:
3S Systems, AAC Acoustics, Advanced Micro Fab, AKM, Analog Devices (AD), Asia Pacific Microsystems (APM), Audiopixels, Avago, Boehringer Ingelheim, BSE, Canon, Colibrys, Dalsa, Deep Di Semiconductor, Denso, Domintech, DRS, ELMOS (SMI), FLIR, FormFactor, Freescale Semiconductor, FujiFilm Dimatix, GE Measurement & Controls, Gettop, Global Foundries, GMEMS, Goertek, Goodrich, Hewlett Packard , Honeywell, Hosiden, IMT, Infineon, Innoluce, Invensense, Jazz Semiconductor, Jyve, Kaiam, Kionix, Knowles, Lensvector, Lexmark, Melexis, Memscap, memsmart, memstech, MEMStim, Mezmeriz, Micralyne, MicroGen, Mikrosense, Mitsubishi Heavy Industries, Movea, Murata, NovioMEMS, Nuvoton Technology, Olympus, Omron, Opus Microsystems, Panasonic, poLight, Preciseley Microtechnology, Pyreos, QMT, Qualtre, Robert Bosch, Seiko Epson, Semefab, Senodia, Sensata, Sensonor Technologies, Silex Microsystems, Silicon Sensing Systems, Siltronix, SMIC, Sony, STMicroelectronics, SDI, Telecardia, Texas Instruments, Touch Microsystems (TMT), Tronics Microsystems, TSMC, Ulis, UMC, Veeco, Verreon, VTI Technologies, Xaar, XFAB, Yamaha, Yishay Sensor.

Yole Développement is a group of companies providing market research, technology analysis, strategy consulting, media in addition to finance services. Go to www.yole.fr.

Subscribe to our MEMS Direct newsletter

September 21, 2011 — A MEMS oscillator maker chose the MT9928 xm tri-temp test handler from Multitest for a novel oscillator application. The MEMS device required extremely accurate temperature calibration.

MEMS oscillators, compared to traditional crystal oscillators, need to be calibrated with particular attention to temperature. The MT9928 xm was chosen to perform the calibration under various temperature situations.

Multitest developed the tri-temp test equipment with innovations from its MEMS test and calibration equipment. The company makes test handlers, contactors, and ATE printed circuit boards. For more information about Multitest’s MT9928 xm, visit www.multitest.com/MT9928.

September 15, 2011 — Test equipment maker Multitest shipped the first InPhone system to an IDM’s European site. The InPhone microphone test system can be combined with the Multitest InStrip test handler for highly parallel micro electro mechanical system (MEMS) test and the calibration of MEMS microphones. The InStrip has been configured for InCarrier test, allowing singulated MEMS packages to go through the parallel processing.

Multitest had to keep certain MEMS-specific concerns in mind with the InPhone tester. Microphone MEMS devices require an expanded linear frequency range and usually are packaged in small form factors. The devices are extremely cost sensitive. Mulltitest InPhone creates an excitement in a pressure chamber, ensuring homogenous acoustic stimuli across the parallel-tested MEMS packages.

Multitest manufactures test equipment for semiconductors, including test handlers, contactors, and ATE printed circuit boards. For more information about Multitest’s InMEMS sensor test equipment, visit www.multitest.com/InMEMS.

Subscribe to our MEMS Direct newsletter

September 7, 2011 – PRNewswire — Wafer bonding tool maker EV Group (EVG) launched a new flagship model in the GEMINI FB fusion wafer bonding family. The GEMINI FB increases system throughput 26% to 18-20 wafers per hour (WPH) with enhanced automation capabilities, suiting wafer bond tasks in backside illuminated (BSI) CMOS image sensors, 3D integration of CMOS image sensors, and monolithic 3D integration of memory devices.

The upgrade is part of EVG’s plans to implement 300mm Prime standards across its equipment platforms. A local material buffer more than doubles the number of front opening unified pods (FOUPs) on the system — 10 FOUPs enable continuous-mode operation. A faster wafer handling system in the GEMINI FB platform uses double-end effectors on the robotic system rather than single-end effectors.

Low-temperature plasma activation enables wafer bonding and stress/damage free annealing below 400

August 30, 2011 – SEMICON Taiwan (Sept. 7-9) approaches, the island’s most celebrated event for microelectronics manufacturing, coorganized by SEMI and the Taiwan External Trade Development Council (TAITRA), offers more than 60 programs and sessions and 550 exhibitors spanning the entire semiconductor value chain and related high-growth industries.

A "Market Trend Forum" will host five industry analysts with their takes on future trends in semiconductor markets from up and down the value chain: foundries, DRAM, packaging, and equipment/materials.

The SiP Global Summit presents the latest 3D IC developments from TSMC and ASE, and offers talks on test challenges, 2.5D-3D ICs, and device-embedded substrates, dubbed "the last mile" in heterogeneous integration in SiP packaging.

A gathering for celebration, to see and be seen: The 2011 Leadership Gala Dinner will honor TSMC’s Morris Chang, recipient of the 2011 Akira Inoue award, and we’re told that President Ma Ying-jeou will talk as well. Other invited guests listed are Vincent Siew, VP representing the ROC; Wu Den-Yih, Premier of the Executive Yuan; Yen-Shiang Shih from the Ministry of Economic Affairs (MOEA); and Taipei Mayor Hau Lung-Bin.

For networking, the CEO Forum presents a range of talks from top industry execs (Mentor Graphics, IMEC, Applied Materials, TSMC), addressing market differentiation, future "hyper-intelligent systems," equipment technology inflection points, and other silicon IC technology challenges and opportunities. And there’s the annual SEMICON Taiwan golf tournament and luncheon.

Other forums cover a range of hot industry topics:

MEMS: Litho for 3D TSV MEMS, etching, simulation, test
LEDs Cost and technology trends, manufacturing efficiencies, packaging
Green Manufacturing: Reducing and efficiently managing consumption of energy, water, hazardous substances, waste, etc. Talks include ISO and SEMI standards, TSMC’s "total chemical management," pump/abatement, automation, etc.
More: Manufacturing/design collaboration, CMP, secondary equipment, and a number of themed pavilions including a Cross-Strait and several national ones.

To learn more about the show and register, go to www.semicontaiwan.org.