Category Archives: Packaging

Data provided by the Semiconductor Industry Association (SIA) indicates that worldwide sales of semiconductors reached USD 40.16 Billion for the month of August 2018, representing an increase of 14.9% when compared to the August 2017 total of USD 34.96 Billion. Global sales in August 2018were 1.7% higher than the July 2018 total of USD 39.49 Billion. The semiconductor industry is one of the fastest growing industries of the technology sector. According to Stratistics MRC, many semiconductor companies are beginning to embrace IoT to drive new revenue and growth models. Squire Mining Ltd. (OTC: SQRMF), Taiwan Semiconductor Manufacturing Company Limited (NYSE: TSM), Applied Materials, Inc. (NASDAQ: AMAT), Qorvo, Inc. (NASDAQ: QRVO), Entegris, Inc. (NASDAQ: ENTG)

According to a recent report by Accenture, the semiconductor industry is the most bullish sector when it comes to the integration of blockchain within their industry and the impact of artificial intelligence. “Throughout the industry’s complex supply chain, blockchain simplifies business operations leveraging semiconductor chips and related technologies,” said Syed Alam, a Managing Director in Accenture Strategy who leads Accenture’s Semiconductor practice. “This faster traceability will improve companies’ business operations and accelerate delivery of their products to market – while enabling them to do so at lower costs. Semiconductor companies can also use blockchain to create, scale and manage technology-based collaborations and redefine future business transactions.”

Squire Mining Ltd. (OTCQB: SQRMF) is also listed on the Canadian Securities Exchange under the ticker (CSE: SQR). Just earlier today, the company announced breaking news that, “Ennoconn Corporation (“Ennoconn”) as our hardware manufacturer for next generation mining systems to mine Bitcoin Cash, Bitcoin and other associated cryptocurrencies. Ennoconn is a leading industrial motherboard designer and total hardware system solution provider headquartered in Taipei, Taiwan and listed on the Taiwan stock exchange (TPE:6414). In 2007, Foxconn Technology Group, the largest “Electronic Manufacturing Service” company in the world, became the majority shareholder of Ennoconn, forming a strong strategic alliance in embedded system and electronic manufacturing.

On August 21, 2018, Squire announced that AraSystems Technology Corp. (“AraSystems”), a subsidiary of Squire, had entered into a provisional non-binding agreement with a major global technology assembly company. This company, now revealed to be Ennoconn, will assist in the design and assembly of our next generation mining rig at such time as a working prototype of our debut ASIC chip is completed.

On October 3, 2018 Squire announced the successful completion and testing of its FPGA working prototype microchip, with early results of the terahash-to-energy consumption ratio, indicating that the final ASIC chip and mining system has the potential to reduce operational costs by up to 40% for enterprise mining facilities.

● This cost reduction was estimated by one leading enterprise mining group to be worth up to $60M per year in savings to their operations alone.

● The final ASIC chip and mining system together are expected to provide up to a four times improvement in the performance of mining the blockchain, a process that enables miners to be paid, thereby increasing the return on investment, and profit, for miners. Such calculations are based on comparisons with the majority of current generation mining machines operating inside enterprise facilities around the world.

Following this success, the Company has signed a binding Memorandum of Understanding with Ennoconn and funded work to commence Phase 1 design and development of AraSystem’s next generation mining system in collaboration with its partners in Taipei, Taiwan and in Seoul, South Korea. Definitive documentation will be entered into following delivery of final specifications and data sheets to Ennoconn later this month.

Squire’s engineers are currently working with Ennoconn to design and develop AraSystem’s mining rig which will house the debut ASIC chip currently under development by the Company’s subsidiary AraCore Technology Corp (“AraCore”), in conjunction with GaonChips and Samsung Electronics (see news releases dated September 25 and October 3, 2018). In turn, Ennoconn will be responsible for mass assembly of the mining rig once all design, development and testing work has been completed.

A prototype of the mining rig along with full specifications of the AraCore ASIC chip are expected to be presented at the CoinGeek Conference in London on November 28 – 30, 2018, with presales expected to commence on or around that date. Significant interest has already been expressed by several of the industry’s largest enterprise mining companies, which currently host hundreds of thousands of mining machines in their facilities across the world.”

‘We are very pleased to be partnering with the skilled engineers at Ennoconn, one of the world’s leading electronic manufacturing companies,’ stated Simon Moore, Executive Chairman and CEO of Squire. ‘As we launch our next generation mining rig with a suite of proprietary innovations, it’s imperative that our manufacturing partners have the talent, experience and capacity to not only deliver unique hardware, but also deliver best in class quality. We believe Ennoconn will help ensure the production of an exceptional mining rig for the marketplace,’ he said. Further, Mr. Moore noted, ‘based on initial interest from the sector, the potential for significant sales and the subsequent revenue for Squire is on track in the coming year which would make Squire and its partners a noteworthy industry provider of crypto mining hardware and next generation innovation on a global scale.’

Taiwan Semiconductor Manufacturing Company Limited (NYSE: TSM) is the world’s largest dedicated semiconductor foundry, providing the industry’s leading process technology and the foundry segment’s largest portfolio of process-proven libraries, IPs, design tools and reference flows. TSMC recently announced the initial availability of its Open Innovation Platform® Virtual Design Environment (OIP VDE), which enables semiconductor customers to securely design in the cloud, leveraging TSMC OIP design infrastructures within the flexibility of cloud infrastructures. OIP VDE is the result of TSMC collaboration with TSMC OIP design ecosystem partners and leading cloud providers to deliver a complete systems-on-chip (SoCs) design environment in the cloud. TSMC OIP VDE’s first implementations of digital RTL-to-GDSII and custom schematic capture-to-GDSII flows are via partnerships with TSMC’s inaugural Cloud Alliance partners, Amazon Web Services (AWS), Cadence, Microsoft Azure, and Synopsys. In TSMC’s enablement of OIP VDE, both digital and custom design flows have been validated in the cloud, along with OIP design collateral-including process technology files, PDKs, foundation IP, and reference flows. To ensure low barriers to entry and high technical support levels, Cadence and Synopsys act as the focal point helping customers to set up VDE and providing first line support.

Applied Materials, Inc. (NASDAQ: AMAT) is a developer of materials engineering solutions used to produce virtually every new chip and advanced display in the world. Applied Materials recently celebrated the 20th anniversary and 5,000th shipment of the Producer® platform, a manufacturing system that helps make virtually every chip in the world. The Producer platform was launched in July of 1998 to help enable chips to run faster by changing their wiring from aluminum to copper, which is a better conductor. The transition was needed by the industry to drive the performance and power improvements associated with Moore’s Law, but it also required many additional steps that could have made the progress unaffordable. To help, Applied Materials designed every element of the Producer platform to give customers the highest performance at the lowest possible operating cost. “With the landmark Producer platform, Applied achieved something that had never been done before on this scale: create a highly flexible architecture that can support multiple technology generations and still remain incredibly productive,” said G. Dan Hutcheson, Chief Executive Officer of VLSIresearch. “Today, the Producer platform continues to allow chipmakers to imagine and build chips in entirely new ways. Congratulations to Applied Materials on this impressive milestone for one of the most important process systems in the semiconductor industry.”

Qorvo, Inc. (NASDAQ: QRVO) recently introduced a new System in Package (SiP) that enables dynamic, simultaneous support for Zigbee® 3.0, Green Power, Thread and Bluetooth Low Energy (BLE). This new SiP integrates Qorvo power amplifier technology providing 20 dBm output, which is especially important for U.S. smart home applications. The Qorvo QPG6095M is a fully integrated SiP for ultra-low power wireless communications. It is BLE 5.0 and Zigbee 3.0 platform and product certified, and offers Green Power energy efficiency. This SiP also extends range and battery life and enables robust interference mitigation. The QPG6095M delivers optimized connectivity throughout the home, eliminating the need for complex mesh architectures and unnecessary battery consumption in intermediate devices. The QPG6095M blends Qorvo’s power amplifier (PA) technology with a multi-standard, multi-protocol chip. Its level of integration and performance benefit product designers by lowering development costs and speeding time to market. Cees Links, General Manager of Qorvo’s Wireless Connectivity business unit, said, “This new SiP is another example of Qorvo’s commitment to combining and leveraging RF technologies to improve the consumer’s connected experience. Developers can now deliver BLE, Zigbee and Thread simultaneously with more range and reliability, and reduce concerns about future compatibility.”

Entegris, Inc. (NASDAQ: ENTG) is a developer and provider of specialty chemicals and advanced materials solutions for the microelectronics industry and other high-tech industries. Entegris, Inc. recently released the next generation EUV 1010 Reticle Pod for high-volume IC manufacturing using extreme ultraviolet (EUV) lithography. Developed in close collaboration with ASML, one of the world’s largest manufacturers of chip-making equipment, Entegris’s EUV 1010 is the first to be qualified by ASML for use in the NXE:3400B and beyond. As the semiconductor industry begins ramping EUV lithography for the high-volume manufacturing (HVM) of advanced technology nodes, keeping EUV reticles defect-free is more demanding than ever. Entegris’s EUV 1010 Reticle Pod is now fully qualified by ASML for their latest generation scanner having demonstrated outstanding protection of the EUV reticles, including against the most critical particle challenges. As a result, Entegris’s EUV 1010 enables customers to safely transition to smaller and smaller line widths, as needed for the most advanced lithography processes.

GLOBALFOUNDRIES today announced the addition of nine new partners to its growing RFwave Partner Program, including Akronic, Ask Radio, Catena, University of Waterloo Centre for Intelligent Antenna and Radio Systems (CIARS), Giga Solution, Helic, Incize, Mentor Graphics and Xpeedic Technology. These new partners will provide unique mmWave test and characterization capabilities along with design services, IP and EDA solutions that will enable GF clients to rapidly implement RF designs in applications spanning Internet-of-Things (IoT), mobile, RF connectivity, and networking markets.

The RFwave Partner Program builds upon GF’s industry-leading radio frequency (RF) solutions, such as FD-SOI, RF CMOS (bulk and advanced CMOS nodes), RF SOI and silicon germanium (SiGe) technologies. The program provides a low-risk, cost-effective path for designers seeking to build highly optimized RF solutions for a range of wireless applications such as IoT across various wireless connectivity and cellular standards, standalone or transceiver integrated 5G front end modules, mmWave backhaul, automotive radar, small cell and fixed wireless and satellite broadband.

“As the RFwave program continues to expand, partners play a critical role in helping to serve our growing number of clients and extend the reach of our RF ecosystem by providing innovative RF-tailored solutions and services,” said Mark Ireland, vice president of ecosystem partnerships at GF. “These new partners will help drive deeper engagement and enhance technology collaboration, including tighter interlock around quality, qualification and development methodology, enabling us to deliver advanced highly integrated RF solutions.”

GF is focused on building strong ecosystem partnerships with industry leaders. With the RFwave program, GF’s partners and clients can now benefit from a greater availability of resources to deliver innovative, highly optimized RF solutions. The new partners join current RFwave Program members including asicNorth, Cadence, CoreHW, CWS, Keysight Technologies, Spectral Design, and WEASIC.

GOWIN Semiconductor today announced that its GW1NS product family was named a 2018 Arm TechCon Innovation Award finalist for design innovation of the year.

GOWIN’s GW1NS-2C is the first of its microprocessor-based SoC family.  The architecture of the product is unique in that it uses a shared resource technology.  Typically, a microprocessor is designed with the core of the processor connected to peripherals via a bus architecture.  The peripherals could include JTAG, SRAM memory, I/O interfaces, PLL’s, oscillators, etc.  The GW1NS-2C shares its peripheral resources with a barebone Arm Cortex M3 to leverage the complete system for size and power.  In addition, because the peripheral functionality is located inside the FPGA portion of the SoC, it is possible to change the peripheral functionality by reprogramming the FPGA fabric.  This allows for complete flexibility in a SoC environment that no other microprocessor product can offer today.

The Arm TechCon Innovation Awards program celebrates leading-edge Arm-based technologies that have spawned new applications and sparked innovation in systems design. Arm TechCon, the world’s leading conference and exhibition showcasing Arm-based technologies, will be held Oct. 16-18 at the San Jose Convention Center.

A tour of finalists’ booths and their technologies will be conducted at Arm TechCon Wednesday Oct. 17 at 3:30 p.m. Winners will then be announced at 5 p.m. in the expo theater. For more information and to register for the event, please visit armtechcon.com.

“We are honored to receive this recognition from Arm.  As a partner, they have been very supportive of our approach to innovation,” said Scott Casper, Director of Sales, GOWIN Semiconductor.  “The GW1NS family demonstrates incredible functionality and flexibility needed for the success of today’s system designs.  We are happy to be accelerating growth in this field.”

By Serena Brischetto

SEMI met with Heinz Martin Esser, managing director at Fabmatics GmbH, to discuss how existing 200mm semiconductor fabs can master the challenges of a 24×7 production under highest cost and quality pressure by implementing intralogistics automation solutions. The two spoke ahead to his presentation at the Fab Management Forum at SEMICON Europa 2018, 13-16, November 2018, in Munich, Germany. To register for the event, click here.

SEMI: Looking at the latest production capacity data for 2018 – it is a 200mm fab boom. Growing demand for analog, MEMS and RF chips continues to cause acute shortages for both 200mm fab capacity and equipment. Do you think this trend will continue the next years or is it only a short term run on 200mm fabs?

Esser: We at Fabmatics believe in a long-term trend. The emergence of the Internet of Things and growing digitalization in all areas of life will continue to increase demand for integrated circuits (ASICs), analog ICs, high-performance components and micro-mechanical sensors (MEMS) in the coming years. Many of these semiconductor elements should be produced in 200 mm fabs.

SEMI: How does Fab automation contribute to increase capacity of existing, mature 200mm fabs?

Esser:  We are convinced that fab automation is one of the greatest potentials for older 200mm factories to effectively master increased demand, increasing efficiency, quality assurance and flexibility at the same time. In particular, material flow automation, which is often the missing link between existing equipment in different production areas, can help increase productivity in an elementary way.

If you analyze how long valuable tools typically wait for loading and unloading, you can see a direct effect of the intralogistics automation system, which leads to a significantly higher utilization of process equipment by making the material flow independent from human performance. Additional side effects such as reduced cycle time, stable fab flow factor or flattened WIP shafts further increase the contribution of material flow automation to get the most out of existing mature factories. Older does not mean obsolete.

SEMI: What are the biggest challenges for a successful implementation?

Esser: There is no single challenge when you automate an existing mature fab. Instead, you face a whole variety of challenges you have to tackle, ranging from historically grown non-aligned fab layouts over non-linear material flows and older non-standardized equipment to “automation unfriendly” fab environment. Also you should not underestimate the efforts to overcome the practice manual fab operation people in the cleanroom are so familiar with for many years. Before doing automation you have to think automation, i.e. you have to question all processes to make them ready for automation.

SEMI: What are the key drivers to automate a mature fab today: costs, process stability, quality or a combination of them?

Esser: This question should be better asked to our customers, but we believe it is a mix of many impacts. Most likely everybody sees the cost reduction at first, but we get more aware of process and performance stability as well as quality requirements – and here our customers’ play the most important role – become more and more focused.

SEMI: What do you expect from SEMICON Europa 2018 and why do you recommend attending the Fab Management Forum?

Esser: This year SEMICON Europa will co-locate with electronica. So it`s going to be the greatest trade fair for electronics manufacturing in Europe. We will meet innovators and decision-makers across the whole electronics supply chain.

The Fab Management Forum addresses a highly topical question that concerns all semiconductor manufacturers not only in Europe – how to handle complexity and enable the necessary flexibility to cope with customers’ needs. High-ranking speakers will give an insight into the latest technologies and best practices. I am looking forward to the lively exchange with the participants and taking away new impulses for our business.

Heinz Martin Esser is managing director at Fabmatics GmbH, responsible for sales and marketing, customer service and administration. He studied supply engineering at the University of Applied Sciences in Cologne and later earned a university degree in business administration.

Originally published on the SEMI blog.

Synopsys, Inc. (Nasdaq: SNPS) today announced the Synopsys Design Platform fully supports TSMC’s wafer-on-wafer (WoW) direct stacking and chip-on-wafer-on-substrate (CoWoS®) advanced packaging technologies. The design platform enablement, combined with the 3D-IC reference flow, enables customer deployments for high-performance, high-connectivity multi-die technology in mobile computing, network communication, consumer, and automotive electronics applications.

The platform-wide Synopsys solution includes multi-die and interposer layout capture, physical floorplanning, and implementation, as well as parasitic extraction and timing analysis coupled with physical verification. Key products and features of the Synopsys Design Platform supporting TSMC’s advanced WoW and CoWoS packaging technologies include:

  • IC Compiler II place-and-route: Supports multi-die floorplanning and implementation, including interposer and 3D stack-die generation, TSV placement and connectivity assignment, orthogonal multi-layer, 45-degree single-layer, and interface inter-die block generation for inter-die extraction and checking
  • StarRC extraction: Supports modeling of TSV and backside RDL metal extraction, silicon interposer extraction, and inter-die coupling capacitance extraction
  • IC Validator: Supports full-system DRC and LVS verification, inter-die DRC, and LVS checking of inter-die interface
  • PrimeTime® signoff analysis: Full-system static timing analysis, supports multi-die static timing analysis (STA)

“High-performance advanced 3D silicon fabrication and wafer stacking technologies require new EDA features and flows to support the corresponding increase in design and verification complexity,” said Suk Lee, TSMC senior director, Design Infrastructure Marketing Division. “We extend our collaboration with Synopsys to deliver design solutions for TSMC’s CoWoS and WoW advanced packaging technologies. We look forward to our mutual customers benefiting from the enabled design solutions, boosting designer productivity and accelerating time-to-market.”

“Built through deep collaboration, the design solution and reference flow for TSMC’s WoW and CoWoS chip integration solutions will enable our mutual customers to achieve optimal quality of results,” said Michael Jackson, corporate vice president of marketing and business development for Synopsys’ Design Group. “The Synopsys Design Platform and methodologies will allow designers to confidently meet their schedules for cost-effective, high-performance, and low-power multi-die solutions.”

Synopsys jointly highlighted the advances and collaborations of TSMC 2.5D and 3D technologies in a paper titled “Onwards and Upwards: How Xilinx is Leveraging TSMC’s Latest Integration and Packaging Technologies with Synopsys’ Platform-wide Solution for Next-generation Designs” at the TSMC Open Innovation Platform® (OIP) Ecosystem Forum on October 3, 2018 in Santa Clara, California.

To scale down a transistor below a 5nm node is one of the vital concerns for VLSI industry as there are various challenges due to the shrinking of components. Several researches are going on worldwide to overcome the challenges of future technology nodes. Among them, this article reviews the potential transistor structures and materials like Carbon Nano-tube FET, Gate-All-Around FET, and Compound Semiconductors as solutions to overcome the problems of scaling the existing silicon FinFET transistor below 5nm node.

By Pavan H Vora, Akash Verma, Dhaval Parikh

The ‘Semiconductor era’ started in 1960 with the invention of the integrated circuit. In an integrated circuit, all the active-passive components and their interconnection are integrated on a single silicon wafer, offering numerous advantages in terms of portability, functionality, power, and performance. The VLSI industry is following Moore’s law for many decades, which says, “the number of transistors on a chip becomes double approximately every two years”. To get the benefits of a scaled-down transistor, VLSI industry is continuously improving transistor structure and material, manufacturing techniques, and tools for designing IC. Various techniques, which have been adopted for transistors so far, include high-K dielectric, metal gate, strained silicon, double patterning, controlling channel from more than one side, silicon on insulator and many more techniques. Some of these techniques are discussed in ‘A Review Paper on CMOS, SOI and FinFET Technology’[1].

Nowadays, the demand of the internet of things, autonomous vehicles, machine learning, artificial intelligence, and internet traffic is growing exponentially, which acts as a driving force for scaling down transistor below the existing 7nm node for higher performance. However, there are several challenges of scaling down a transistor size.

Issues with Sub-Micron Technology:

Every time we scale down a transistor size, a new technology node is generated. We have seen transistor sizes such as 28nm, 16nm, etc. Scaling down a transistor enables faster switching, higher density, low power consumption, lower cost per transistor, and numerous other gains. The CMOS (complementary metal-oxide-semiconductor) transistor base IC technology performs well up to 28nm node. However, the short channel effects become uncontrollable if we shrink down CMOS transistor below 28 nm. Below this node, a horizontal electric field generated by drain-source supply tries to govern the channel. As a result, the gate is unable to control leakage paths, which are far from the gate.

16nm/7nm Transistor Technology: FinFet and FD-SOI:

The VLSI industry has adopted FinFET and SOI transistor for 16nm and 7nm nodes, as both the structures are able to prevent the leakage issue at these nodes. The main objective of both the structures is to maximize gate-to-channel capacitance and minimize drain-to-channel capacitance[1]. In both transistor structures, the channel thickness scaling is introduced as the new scaling parameter. As the channel thickness is reduced, there are no paths, which are far from the gate area. Thus, gates have a good control over the channel, which eliminates short channel effects.

In Silicon-on-Insulator (SOI) transistor, a buried oxide layer is used, which isolates the body from the substrate shown in Figure 1(a).Owing to the BOX layer, drain-source parasitic junction capacitances are reduced, which results in faster switching. The main challenge with the SOI transistor is that it is difficult to manufacture a thin silicon layer on the wafer.

Figure 1: a) FD-SOI Structure b) FinFET Structure and Channel

FinFET, which is also called as tri-gate controls channel is shown from three sides in Figure 1(b).  There is a thin vertical Si-body, which looks like a back fin of fish wrapped by the gate structure. A width of the channel is almost two times Fin height. Thus, to get higher driving strength, a multi-Fin structure is used. One of the gains with FinFET is higher driving current. The main challenge with FinFET is the complex manufacturing process.

Challenges with Technology Node below 5nm: What Next?

Reducing the body thickness results into lower mobility as surface roughness scattering increases. Since FinFET is a 3-D structure, it is less efficient in terms of thermal dissipation. Also, if we scale down the FinFET transistor size further, say below 7nm, the leakage issue becomes dominant again. Consequently, many other problems come into consideration like self-heating, threshold flattening, etc. These concerns lead to research on other possible transistor structures and replacing existing materials with new effective materials.

According to the ITRS roadmap (International Technology Roadmap for Semiconductors), the next technology nodes are 5nm, 3nm, 2.5nm, and 1.5nm. Many different types of research and studies are going on in VLSI industry and academia for potential solutions to deal with these future technology nodes. Here we discuss some promising solutions like carbon nanotube FET, GAA transistor structure, and compound semiconductor for future technology nodes.

Figure 2: Transistor Technology Roadmap

CNTFET – Carbon Nano Tube FET:

CNT (Carbon Nanotube) showcases a new class of semiconductor material that consists of a single sheet of carbon atoms rolled up to form a tubular structure. CNTFET is a field-effect transistor (FET) that uses semiconducting CNT as a channel material between the two metal electrodes, which behave as source and drain contacts. Here we will discuss carbon nanotube material and how it is beneficial to FET at a lower technology node.

  • What is a Carbon Nanotube?

CNT is a tubular shaped material, made of carbon, having diameters measurable on the nanometer scale. They have a long and hollow structure and are formed from sheets of carbon that are one atom thick. It is called “Graphene”. Carbon nanotubes have varied structures, differing in length, thickness, helicity, and the number of layers. Majorly, they are classified as Single Walled Carbon Nanotube (SWCNT) and Multi-Walled Carbon Nanotube (MWCNT). As shown in Figure 3(a), one can see that SWCNTs are made up of a single layer of graphene, whereas MWCNTs are made up of multiple layers of graphene.

Figure 3: a) Single Walled and Multi Walled CNTs b) Chirality Vector Representation

  • Properties of Carbon Nanotube:

The carbon nanotube delivers excellent properties in areas of thermal and physical stability as discussed below:

  1. Both Metallic and Semiconductor Behavior

The CNT can exhibit metallic and semiconductor behavior. This change in behavior depends on the direction in which the graphene sheet is rolled. It is termed as chirality vector. This vector is denoted by a pair of integer (n, m) as shown in Figure 3(b). The CNT behaves as metallic if ‘n’ equals to ‘m’ or the difference of ‘n’ and ‘m’ is the integral multiple of three or else it behaves as a semiconductor [2].

  1. Incredible Mobility

SWCNTs have a great potential for application in electronics because of their capacity to behave as either metal or as a semiconductor, symmetric conduction and their capacity to carry large currents. Electrons and holes have a high current density along the length of a CNT due to the low scattering rates along the CNT axis. CNTs can carry current around 10 A/nm2, while standard metal wires have a current carrying capacity that is only around 10 nA/nm2[3].

  1. Excellent Heat Dissipation

Thermal management is an important parameter for the electronic devices’ performance. Carbon nanotubes (CNTs) are well-known nanomaterials for excellent heat dissipation. Moreover, they have a lesser effect of the rise in temperature on the I-V characteristics as compared to silicon [4].

CNT in Transistor Applications: CNFET

The bandgap of carbon nanotubes can be changed by its chirality and diameter and thus, the carbon nanotube can be made to behave like a semiconductor. Semiconducting CNTs can be a favorable candidate for nanoscale transistor devices for channel material as it offers numerous advantages over traditional silicon-MOSFETs. Carbon nanotubes conduct heat similar to the diamond or sapphire. Also, they switch more reliably and use much less power than silicon-based devices [5].

In addition, the CNFETS have four times higher trans-conductance than its counterpart. CNT can be integrated with a High-K material, which is offering good gate control over the channel. The carrier velocity of CNFET is twice as compared to MOSFET, due to increased mobility. A carrier mobility of N-type and P-type CNFET is similar in offering advantages in terms of same transistor size. In CMOS, PMOS (P-type metal-oxide-semiconductor) transistor size is approximately 2.5 times more than NMOS (N-type metal-oxide-semiconductor) transistor as mobility values are different.

The Fabrication process of CNTFET is a very challenging task as it requires precision and accuracy in the methodologies.Here we discuss the Top-gated CNTFET fabrication methodology.

The first step in this technique starts from the placement of carbon nanotubes onto the silicon oxide substrate. Then the individual tubes are isolated. Source and drain contacts are defined and patterned using advanced lithography. The contact resistance is then reduced by refining the connection between the contacts and CNT. The deposition of a thin top-gate dielectric is performed on the nanotube via evaporation technique. Lastly, to complete the process, the gate contact is deposited on the gate dielectric [6].

Figure 4: Concept of Carbon-Nanotube FET

Challenges of CNTFET:

There are lots of challenges in the roadmap of commercial CNFET technology.  Majority of them have been resolved to a certain level, but a few of them are yet to be overcome. Here we will discuss some of the major challenges of CNTFET.

  1. Contact Resistance

For any advanced transistor technology, the increase in contact resistance due to the low size of transistors becomes a major performance problem. The performance of the transistor degrades as the resistance of contacts increases significantly due to the scaling down of transistors. Until now, decreasing the size of the contacts on a device caused a huge drop in execution — a challenge facing both silicon and carbon nanotube transistor technologies [7].

  1. Synthesis of Nanotube

Another challenge with CNT is to change its chirality such that it behaves like a semiconductor. The synthesized tubes have a mixture of both metals and semiconductors. But, since only the semiconducting ones are useful for qualifying to be a transistor, engineering methodologies need to be invented to get a significantly better result at separating metal tubes from semiconducting tubes.

  1. To develop a non-lithographic process to place billions of these nanotubes onto the specific location of the chip poses a challenging task.

Currently, many engineering teams are carrying out research about CNTFET devices and their logic applications, both in the industries and in the universities. In the year 2015, researchers from one of the leading semiconductor companies succeeded in combining metal contacts with nanotubes using “close-bonded contact scheme”. They achieved this by putting a metal contact at the ends of the tube and making them react with the carbon to form different compounds. This technique helped them to shrink contacts below 10 nanometers without compromising the performance [8].

Gate-All-Around FET: GAAFET

One of the futuristic potential transistor structures is Gate-all-around FET. The Gate-all-around FETs are extended versions of FinFET. In GAAFET, the gate material surrounds the channel region from the four directions. In a simple structure, a silicon nanowire as a channel is wrapped by the gate structure. A vertically stacked multiple horizontal nanowires structure is proven excellent for boosting current per given area. This concept of multiple vertically stacked gate-all-around silicon nanowire is shown in Figure 5.

Figure 5: Vertically Stacked Nanowires GAAFET

Apart from silicon material, some other materials like InGaAs, germanium nanowires can also be utilized for better mobility.

There are many hurdles for GAAFET in terms of complex gate manufacturing, nanowires, and contacts. One of the challenging processes is fabricating nanowires from the silicon layer as it requires a new approach for the etching process.

There are many research labs and institute working for Gate-all-around FET for lower nodes. Recently, Leuven based R&D firm claimed that they achieved excellent electrostatic control over a channel with GAAFET at sub 10nm diameter nanowire. Last year, one of the leading semiconductor companies unveiled a 5nm chip, which contains 30 billion transistors on a 50mm2chip using stacked nanowire GAAFET technology. It claimed to achieve 40% improvement in performance compared to 10nm node or 70% improvement in power consumption at the same performance.

Compound Semiconductors:

Another promising way to scale down a transistor node is the selection of novel material that exhibits higher carrier mobility. A compound semiconductor with ingredients from columns III and V are having higher mobility compared to silicon. Some compound semiconductor examples are Indium Gallium Arsenide (InGaAs), Gallium Arsenide (GaAs), and Indium Arsenide (InAs). According to various studies, integration of compound semiconductor with FinFET and GAAFET showing excellent performance at lower nodes.

The main concerns with compound semiconductor are large lattice mismatch between silicon and III-V semiconductor, resulting in defects of the transistor channel. One of the firms developed a FinFET containing V-shaped trenches into the silicon substrate. These trenches filled with indium gallium arsenide and forming the fin of the transistor. The bottom of the trench is filled with indium phosphide to reduce the leakage current. With this trench structure, it has been observed that defects terminate at the trench walls, enabling lower defects in the channel.

Conclusion:

From the 22nm node to 7nm node, FinFETs have been proven successful and it may be scaled down to one more node. Beyond that, there are various challenges like self-heating, mobility degradation, threshold flattening, etc. We have discussed how carbon nanotube’s excellent properties of motilities, heat dissipation, high current carrying capability offer promising solutions for replacing existing silicon technology. As the stack of horizontal nanowire opened a “fourth gate”, Gate-all-around transistor structure is also a good candidate for replacing vertical Fin structure of FinFET for achieving good electrostatic property. It is not clear what comes next in the technology roadmap. However, in the futuristic transistor technology, there must be changes of existing material, structure, EUV (Extreme ultraviolet) lithography process, and packaging to sustain Moore’s law.

References:

[1]  Pavan Vora, Ronak Lad, “A Review Paper on CMOS, SOI and FinFET Technology”, www.design-reuse.com/articles/

[2]  P.A Gowri Sankar, K. Udhaya Kumar, “Investigating The Effect of Chirality On Coaxial Carbon Nanotube Field Effect Transistor”, 2012 International Conference on Computing, Electronics and Electrical Technologies (ICCEET)

[3] Rashmita Sahoo, S.K Sahoo, “Design of an efficient CNTFET using optimum number of CNT in channel region for logic gate implementation”, 2015 International Conference on VLSI Systems, Architecture, Technology and Applications (VLSI-SATA)

[4] Yijian Ouyang and Jing Guo, “Heat dissipation in carbon nanotube transistors”, Appl. Phys. Lett. 89, 183122 (2006)

[5] Philip G. Collins & Phaedon Avouris, “Nanotubes for Electronics”, Scientific American 283, 62 – 69 (2000)

[6] Wind, S. J.; Appenzeller, J.; Martel, R.; Derycke, V.; Avouris, Ph. (2002). “Vertical scaling of carbon nanotube field-effect transistors using top gate electrodes”, Applied Physics Letters. 80 (20): 3817. Bibcode:2002ApPhL..80.3817W.

[7] Aaron D. Franklin, Wilfried Haensch, “Defining and overcoming the contact resistance challenge in scaled carbon nanotube transistors”, 72nd Device Research Conference

[8] IBM, “IBM Research Breakthrough Paves Way for Post-Silicon Future with Carbon Nanotube Electronics”, https://www-03.ibm.com/press/us/en/pressrelease/47767.wss

About Authors:

Pavan Vora

Pavan Vora is working as an ASIC Physical Design Engineer at eInfochips, an Arrow company. He has more than 3 years of experience in ASIC designs for cutting technology nodes such as 12nm, 16nm FinFET, and 28nm. Pavan has expertise in ASIC P&R, LEC, LVS, Static Timing Analysis, Signal EM, DRC, and IR drop and has been awarded a Gold Medal in Master of Engineering in VLSI System Design.

Akash Verma

Akash Verma is working as an ASIC Trainee Engineer at eInfochips, an Arrow company. He has completed his bachelors in Electronics & Communication from the GIT, Gandhinagar. He is currently working on networking ASIC chip at 7nm FinFET technology, in which his accountabilities include block level APR, Static Timing Analysis and Physical Verification. His interest lies in Analog Mixed Signal designs and EDA tool’s algorithmic methodologies.

Dhaval Parikh

Dhaval Parikh is working as a Technical Manager at eInfochips, an Arrow company. He has more than 11 years of industry experience and has worked in various ASIC designs of IP’s & SoC’s, from 180nm to cutting technology node 7nm. He has been responsible for all the aspects of physical design and verification along with executing multiple projects simultaneously.

About eInfochips:

eInfochips, an Arrow company, is a global provider of product engineering and semiconductor design services. With over 500+ products developed and 40M deployments in 140 countries, eInfochips continues to fuel technological innovations in multiple verticals. The company’s service offerings include digital transformation and connected IoT solutions across various cloud platforms, including AWS and Azure.

Along with Arrow’s $27B in revenues, 19,000 employees, and 345 locations serving over 80 countries, eInfochips is primed to accelerate connected products innovation for 150,000+ global clients. eInfochips acts as a catalyst to Arrow’s Sensor-to-Sunset initiative and offers complete edge-to-cloud capabilities for its clients through Arrow Connect.

“2017 was an excellent year for CIS , with growth observed in all segments except computing,” commented Pierre Cambou, Principal Analyst, Technology & Market, Imaging at Yole Développement (Yole). Driven by new applications, the industry’s future remains on strong footing.

Yole announces its annual technology & market analysis focused on the CIS industry, from 2017 to 2023, titled: Status of the CMOS Image Sensor Industry. In 2017 the CIS market reached US$13.9 billion. The market research & strategy consulting company forecasts a 9.4% CAGR between 2017 and 2023, driven mainly by smartphones integrating additional cameras to support functionalities like optical zoom, biometry, and 3D interactions.

Yole proposes this year again a comprehensive technology & market analysis of the CMOS Image Industry. In addition to a clear understanding of the CIS ecosystem, analysts detail in this new edition, 2017-2023 forecasts, a relevant description of the M&A activities, an impressive overview of the dual and 3D camera trends for mobile. Mobile and consumer applications are also well detailed in this 2018 edition, with a deep added-value section focused on technology evolution.
In collaboration with Jean-Luc Jaffard, formerly at STMicroelectronics and part of Red Belt Conseil, Pierre Cambou pursued his investigation all year long and reveals today the status of the CIS industry.

2017 saw aggregated CIS industry revenue of US$13.9 billion. And 5 years later, the consulting company Yole announces more than US$ 23 billion. The YoY growth hit a peak at 20% due to the exceptional increase in image sensor value, across almost all markets, but primarily in the mobile sector. “CIS keeps its momentum”,confirms Pierre Cambou from Yole.

Revenue is dominated by mobile, consumer, and computing, which represent 85% of total 2017 CIS revenue. Mobile alone represents 69%. Security is the second-largest segment, behind automotive.

The CIS ecosystem is currently dominated by the three Asian heavyweights: Sony, Samsung, and Omnivision. Europe made a noticeable comeback. Meanwhile, the US maintains a presence in the high-end sector.

The market has benefited from the operational recovery of leading CIS player Sony, which captured 42% market share. “…Apple iPhone has had a tremendous effect on the semiconductor industry, and on imaging in particular. It offered an opportunity for its main supplier, Sony, to reach new highs in the CIS process, building on its early advances in high-end digital photography…”, explains Pierre Cambou in its article: Image sensors have hugely benefited from Apple’s avant-garde strategy posted on i-micronews.com.

The CIS industry is able to grow at the speed of the global semiconductor industry, which also had a record year, mainly due to DRAM revenue growth. CIS have become a key segment of the broader semiconductor industry, featuring in the strategy of most key players, and particularly the newly-crowned industry leader Samsung. Mobile, security and automotive markets are all in the middle of booming expansion, mostly benefiting ON Semiconductor and Omnivision.

These markets are boosting most players that are able to keep up with technology and capacity development through capital expenditure. The opportunities are all across the board, with new players able to climb the rankings, such as STMicroelectronics and Smartsense. Technology advancement and the switch from imaging to sensing is fostering innovation at multiple levels: pixel, chip, wafer, all the way to the system.

CIS sensors are also at the forefront of 3D semiconductor approaches. They are a main driver in the development of artificial intelligence. Yole’s analysts foresee new techniques and new applications all ready to keep up the market growth momentum… A detailed description of this report is available on i-micronews.com, imaging reports section.

In its September Update to The 2018 McClean Report, IC Insights discloses that over the past two years, DRAM manufacturers have been operating their memory fabs at nearly full capacity, which has resulted in steadily increasing DRAM prices and sizable profits for suppliers along the way.  Figure 1 shows that the DRAM average selling price (ASP) reached $6.79 in August 2018, a 165% increase from two years earlier in August of 2016. Although the DRAM ASP growth rate has slowed this year compared to last, it has remained on a solid upward trajectory through the first eight months of 2018.

Figure 1

The DRAM market is known for being very cyclical and after experiencing strong gains for two years, historical precedence now strongly suggests that the DRAM ASP (and market) will soon begin trending downward.  One indicator suggesting that the DRAM ASP is on the verge of decline is back-to-back years of huge increases in DRAM capital spending to expand or add new fab capacity (Figure 2). DRAM capital spending jumped 81% to $16.3 billion in 2017 and is expected to climb another 40% to $22.9 billion this year. Capex spending at these levels would normally lead to an overwhelming flood of new capacity and a subsequent rapid decline in prices.

Figure 2

However, what is slightly different this time around is that big productivity gains normally associated with significant spending upgrades are much less at the sub-20nm process node now being used by the top DRAM suppliers as compared to the gains seen in previous generations.

At its Analyst Day event held earlier this year, Micron presented figures showing that manufacturing DRAM at the sub-20nm node required a 35% increase in the number of mask levels, a 110% increase in the number of non-lithography steps per critical mask level, and 80% more cleanroom space per wafer out since more equipment—each piece with a larger footprint than its previous generation—is required to fabricate ≤20nm devices. Bit volume increases that previously averaged around 50% following the transition to a smaller technology node, are a fraction of that amount at the ≤20nm node.  The net result is suppliers must invest much more money for a smaller increase in bit volume output.  So, the recent uptick in capital spending, while extraordinary, may not result in a similar amount of excess capacity, as has been the case in the past.

As seen in Figure 2, the DRAM ASP is forecast to rise 38% in 2018 to $6.65, but IC Insights forecasts that DRAM market growth will cool as additional capacity is brought online and supply constraints begin to ease. (It is worth mentioning that Samsung and SK Hynix in 3Q18 reportedly deferred some of their expansion plans in light of expected softening in customer demand.)

Of course, a wildcard in the DRAM market is the role and impact that the startup Chinese companies will have over the next few years.  It is estimated that China accounts for approximately 40% of the DRAM market and approximately 35% of the flash memory market.

At least two Chinese IC suppliers, Innotron and JHICC, are set to participate in this year’s DRAM market. Although China’s capacity and manufacturing processes will not initially rival those from Samsung, SK Hynix, or Micron, it will be interesting to see how well the country’s startup companies perform and whether they will exist to serve China’s national interests only or if they will expand to serve global needs.

 

Applied Energy Systems (AES), provider of high and ultra high purity gas systems, services, and solutions – including design, manufacturing, testing, installation, and expert field services – has announced the acquisition of Advanced Research Manufacturing (ARM), Inc., a specialty provider of gas purification systems based in Colorado Springs, CO. ARM, Inc. has been manufacturing high and ultra high purity gas purifiers and gas handling equipment for 20 years and boasts a worldwide installed base of point-of-use, micro-bulk and bulk gas purifiers. AES is a long-time leader in the manufacturing of high and ultra high purity gas and liquid delivery systems, and ARM’s portfolio of solutions will now be offered through AES to supplement and further expand its gas delivery equipment offerings and bring new benefits to customers seeking quality gas handling solutions.

“ARM brings getter, catalyst, and absorber purification technology to Applied Energy Systems that will complement our existing product offerings, allowing AES to provide a more complete and unique solution at a very competitive price,” said Steve Buerkel, President of Applied Energy Systems.

ARM, Inc.’s ultra high purity gas purifiers and associated gas handling equipment are used across the industrial, semiconductor, energy, medical, and pharmaceutical markets both in the U.S. and internationally – the same verticals where AES has a proven track record of enabling safe, precise gas delivery. “There is already a great deal of synergy between the AES and ARM teams in terms of our knowledge of gas handling requirements for innovative processes and applications,” said Jim Murphy, General Manager of AES.  “ARM’s products are a natural extension of our equipment offerings, and together we’ll offer customers our collective expertise to benefit their projects – whether they require gas purification or gas delivery solutions, or both.”

Brian Warrick, ARM, Inc.’s Director of Technology, added: “With AES’ and ARM’s combined resources, the research of new technologies and subsequent development of new products can occur at a more rapid pace. This will enable us to efficiently add to ARM’s existing portfolio of offerings that include purifiers as well as field engineering support.”

“We are extremely pleased to become a part of AES, and look forward to growing our market share in the purification of high and ultra high purity gas,” said Dan Spohn, Director of Global Sales and Market Development at ARM.

Synopsys, Inc. (Nasdaq: SNPS) today announced delivery of automotive-grade DesignWare® Controller and PHY IP for TSMC’s 7-nanometer (nm) FinFET process. The DesignWare LPDDR4x, MIPI CSI-2 and D-PHY, PCI Express® 4.0, and security IP implement advanced automotive design rules for TSMC 7-nm process to meet the stringent reliability and operation requirements of ADAS and autonomous driving system-on-chips (SoCs). The delivery of automotive-grade IP in TSMC’s 7-nm process further extends Synopsys’ broad portfolio of ISO 26262 ASIL Ready IP solutions in FinFET processes, which has been adopted by more than a dozen leading automotive companies. The IP meets stringent AEC-Q100 temperature requirements, delivering high reliability for automotive SoCs. In addition, the included automotive safety packages with Failure Modes, Effects, and Diagnostic Analysis (FMEDA) reports enable designers to save months of development effort and accelerate SoC-level functional safety assessments.

“TSMC’s and Synopsys’ long history of successful collaboration has enabled our mutual customers to benefit from the latest technology advancements to help them achieve their performance, power, and area goals,” said Suk Lee, TSMC senior director of the Design Infrastructure Marketing Division. “Delivering automotive-grade DesignWare IP for TSMC’s 7-nanometer FinFET process underscores Synopsys’ continued commitment to providing designers with the quality IP necessary to meet their aggressive design goals and get products to market faster.”

“Developing automotive-grade IP requires intensive knowledge and strict processes to ensure the IP meets stringent ISO 26262 functional safety and AEC-Q100 reliability standards,” said John Koeter, vice president of marketing for IP at Synopsys. “Synopsys continues to make significant investments in developing automotive-qualified IP for the most advanced processes, such as TSMC’s 7-nanometer, to help designers accelerate their SoC-level qualification effort for functional safety, reliability, and automotive quality.”