Category Archives: Semicon West

Leti, an institute of CEA Tech, and the Korea Institute of Science and Technology (KIST) today announced an agreement to jointly explore a variety of technologies, including monolithic 3D, neuromorphic architectures, non-volatile 3D memory, spintronics and ultra-low power semiconductors.

The five-year joint project also will focus on creating a broad network to foster international collaboration on ultra-low power semiconductors, which both institutes agree will be required to power the ever-increasing spread of digital devices and the Internet of Things.

“Like Leti, KIST has helped set the standards for government-supported research institutes for 50 years,” said Leti CEO Marie Semeria. “This agreement reflects that we have identified numerous vital technology fields that must be developed to make industry more productive, companies more innovative and society more responsive to people in many aspects of their lives.”

“Post-Silicon Semiconductor Institute (PSI) of KIST is playing a key role in semiconductor R&D in Korea. With this agreement, KIST and Leti will strengthen the collaborative relationship to achieve global leadership in the field of semiconductors.” said KIST president Byung Gwon LEE.

Leti partners with large industrials, SMEs and startups to tailor advanced solutions that strengthen their competitive positions. It has launched 59 startups. Its 8,500m² of new-generation cleanroom space feature 200mm and 300mm wafer processing of micro and nano solutions for applications ranging from space to smart devices. With a staff of more than 1,900, Leti is based in Grenoble, France, and has offices in Silicon Valley, Calif., and Tokyo.

KIST is a multi-disciplinary research institute located in Seoul, S. Korea. Founded in 1966, it is the first multi-disciplinary scientific research institute in Korea and has contributed significantly to the economic development of the country, particularly during the years of accelerated growth in the 1970s and 1980s.

By Shannon Davis, Web Editor

Kateeva is out to change the way displays are being made, and during Tuesday’s Silicon Innovation Forum keynote, Kateeva President and COO Conor Madigan, PhD, laid out how their YIELDJet inkjet system is making that happen.

In recent years, OLED displays have captured the imagination of the industry because of the materials’ capability to enable new kinds of form factors, specifically flexible displays. One of the compelling characteristics of OLED is designers can make a display on a thin piece of plastic, freeing them from rigid glass.

Another compelling aspect, Madigan explained, is that OLED displays have fewer subcomponents than their LCD counter parts, so manufacturing cost can be lower. And he believes inkjet technology will play a key role in making OLED more affordable. His company, Silicon Valley-based Kateeva, has focused their efforts on developing an inkjet platform for OLED manufacturing called YIELDJet, a completely different style of inkjet system.

Kateeva’s YIELDJet inkjet printing platform.

Kateeva’s YIELDJet inkjet printing platform.

When the concept of flexible OLEDs was first catching on, designers had some significant manufacturing obstacles to overcome, Madigan explained. Designers in R&D were using vacuum-based technique for depositing the films in the OLED structure.

“It was very slow; it required planarization to make a smooth surface, and this didn’t do that well,” said Madigan. “There were many particle defects, and the cost was high.”

Kateeva worked with adapting inkjet technology to this process. Madigan explained that YIELDJet uses individual droplets of ink in a pattern, merges that ink together, and then uses UV lights to cure into a single layer, which has improved the quality of the films.

“Nowadays, we’re focused on broadly enabling low cost, mass production OLEDs with inkjet printing,” Madigan said. “What we’re working on now is a general deposition platform for putting down patterned films at high speed over large areas, realizing the full potential of inkjet technology for the display industry.”

In developing Kateeva’s YIELDJet, Madigan said they focused on how the glass would be handled, how to perform maintenance on a printer system that would be completely enclosed in a nitrogen environment, and managing particle decontamination.

YIELDJet employs a technique that floats a panel of glass on a vacuum and pressure holds, holding it at the very edge, which significantly reduces the size of the system when compared to conventional system which requires glass be moved on a large, often bulky holder. To address accessibility of their complicated system, Kateeva engineers made the system fully automated and able to recover quickly if it needed to be opened up to air.

“It was a new thing to make a printer that was low particle contaminating,” said Madigan. “In one of these printers, you have about ten thousand nozzles, to do fast coating.”

Kateeva was able to develop techniques to monitor all of these nozzles simultaneously, resulting in completely uniform coatings and films.

“The analysis that we’ve done with our customers is that, once they can move to inkjet printing, then you’ll quickly see OLED come down to cost parity and even be below LCD in cost,” Madigan concluded.

By Pete Singer, Editor-in-Chief

N2O, or Nitrous Oxide, also known as laughing gas, is a weak anesthetic gas that has been in use since the late 18th century. Most people have experienced nitrous in the context of dentistry, but it’s also used to make whipped cream, in auto racing, deep sea diving, or – in the semiconductor industry — as the oxygen source for chemical vapor deposition (CVD) of silicon oxy-nitride (doped or undoped) or silicon dioxide, where it is used in conjunction with deposition gases such as silane. It’s also used in diffusion, rapid thermal processing and for process chamber treatments.

The problem – and why it’s no laughing matter – is that after CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG), accounting for 7% of emissions. According to the U.S. Environmental Protection Agency, 5% of U.S. N2O originates from industrial manufacturing, largely semiconductor manufacturing. “It’s very much of interest because of its high global warming potential, combined with its long atmospheric lifetime of over 100 years,” said Mike Czerniak Environmental Solutions Business Development Manager, Edwards. “After PFCs, this is one of the most impactful gases from semiconductor manufacturing.” With a TLV of 50ppm, N20 is also poses a health risk.

There are two ways to get rid of N2O: reducing and oxidizing. “Reducing means getting rid of the oxygen in it so you just drive it down to be nitrogen, or you can oxidize it and add additional oxygen to it,” Czerniak explained.

Oxidizing is the easier approach in that it involves putting the gas through an ordinary flame. “The problem with doing this is you then make nitrogen oxides, NOx, and that generally is very bad because that’s the gas that’s the acid rain contributor and it also does nasty things to people,” Czerniak said. When NOx and volatile organic compounds (VOCs) react in the presence of sunlight, they form photochemical smog, a significant form of air pollution, especially in the summer. “If you do make NOx, then you probably want to do some additional treatment to try and get rid of the NOx that you’ve generated,” Czerniak said.

Reduction, therefore, is preferable. N2O can be catalytically reduced to H20 + N2. A reducing flame can be used in a combustor; this requires the presence of a reducing agent, such as methane (a commonly used fuel gas) or even a hydrogen-containing process gas such as silane. “You can avoid forming NOx if you use low temperatures, moderate amounts of oxygen, and you add a reducing agent like methane,” Czerniak said.

Edwards presently offers the Atlas series of inward-fired combustion gas abatement solutions. Atlas systems have low fuel consumption compared with previous-generation gas abatement devices and utilize proven Alzeta inward-fired combustor technology to achieve significantly reduced costs of ownership. With one to six inlets with a number of options, including a temperature management system (TMS), they can reach a flow capacity of up to 600 slm and they offer enhanced ease-of-use and more efficient maintenance.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

9:00 am – 10:00 am
“CONNECT” Executive Summit
SEMI’s Denny McGuirk moderates a panel of execs from Lam, Qualcomm, Intel and Entegris
Keynote Stage

9:00 am – 3:00 pm
Women in Technology Forum
Room 304, Esplanade

12:30 am –2:00 pm
The Business Case for Supplier Diversity: Why it Matters to You
Intel presentation and panel discussion
Rm 308, Esplanade

1:00 pm – 5:00 pm
From Collision to Convergence: Co-creating Soutions in the Semiconductor and MEMS/Sensors Industries
San Francisco Marriott Marquis

2:00 pm – 4:00 pm
World of IoT Innovation
Innovation and IoT Theater

3:00 pm –4:30 pm
Bulls & Bears Panel
W Hotel

200mm fabs reawakening


July 13, 2016

By David Lammers, Contributing Editor

Buoyed by strong investments in China, 200mm wafer production is seeing a re-awakening, with overall 200mm capacity expected to match its previous 2006 peak level by 2019 (Figure 1).

Figure 1. By 2019, 200mm fab capacity should be close to the previous peak seen in 2006, according to SEMI. Several new 200mm fabs are expected to  open in China. (Source: SEMICON West presentation by Christian Dieseldorff).

Figure 1. By 2019, 200mm fab capacity should be close to the previous peak seen in 2006, according to SEMI. Several new 200mm fabs are expected to open in China. (Source: SEMICON West presentation by Christian Dieseldorff).

Speaking at a SEMI/Gartner market symposium at SEMICON West, SEMI senior analyst Christian Dieseldorff said over the next few years “we don’t see 200mm fabs closing, in fact we see new ones beginning operation. To me, that is just amazing.”

The numbers back up the rebound. Excluding LEDs, the installed capacity of 200mm fabs will reach about 5.3 million wafers per month (wspm) in 2018, almost matching the 2007 peak of 5.6 million wspm. As shown in Figure 1, By 2019 as new 200mm fabs start up in China, 200mm wafer production will surge beyond the previous 2007 peak, a surprising achievement for a wafer generation that began more than 25 years ago. Figure 2 shows how capacity, which held steady for years, is now on the increase.

Figure 2. 200mm fab capacity, which remained relatively constant for years, is now increasing.

Figure 2. 200mm fab capacity, which remained relatively constant for years, is now increasing.

Case in point: On the opening day of Semicon West, Beijing Yangdong Micro announced a new OLED 200mm fab that will be opening in the second half of 2018 to make OLED drivers, according to Dieseldorff.

Over the past few years, Japan-based companies have closed 10 200mm fabs, mostly outdated logic facilities, while expanding production of discrete power and analog ICs on 200mm wafers. But with China opening several new 200mm fabs and the expansions of existing 200mm fabs worldwide, SEMI sees an additional 274,000 wafer starts per month of 200mm production over the 2015-2018 period, adding expansions and additional fabs, and subtracting closed facilities.

“One message from our research is that we believe the existing 200mm fabs are full. Companies have done what they can to expand and move tools around, and that is coming to an end,” he said. SEMI reckons that 19 new 200mm fabs have been built since 2010, at least six of them in China.

SEMI’s Christian Dieseldorff.

SEMI’s Christian Dieseldorff.

Dieseldorff touched on a vexing challenge to the 200mm expansion: the availability of 200mm equipment. “People have problems getting 200mm equipment, used and even new. The (200mm) market is not well understood by some companies,” he said. With a shortage of used 200mm equipment likely to continue, the major equipment companies are building new 200mm tools, part of what Dieseldorff described as an “awakening” of 200mm manufacturing.

 

China is serious

Sam Wang, a research vice president at Gartner who focuses on the foundry sector, voiced several concerns related to 200mm production at the SEMI/Gartner symposium. While SMIC (which has a mix of 200mm and 300mm fabs) has seen consistently healthy annual growth, the five second-tier Chinese foundries – — Shanghai Huahong Grace, CSMC, HuaLi, XMC, and ASMC — saw declining revenues year-over-year in 2015. Overall, China-based foundries accounted for just 7.8 percent of total foundry capacity last year, and the overall growth rate by Chinese foundries “is way below the expectations of the Chinese government,” Wang said.

The challenge, he said, is for China’s foundries which rely largely on legacy production to grow revenues in a competitive market. And things are not getting any easier. While production of has shown overall strength in units, Wang cautioned that price pressures are growing for many of the ICs made on 200mm wafers. Fingerprint sensor ICs, for example, have dropped in price by 30 percent recently. Moreover, “the installation of legacy nodes in 300mm fabs by large foundries has caused concern to foundries who depend solely on 200 mm.”

But Wang emphasized China’s determination to expand its semiconductor production. “China is really serious. Believe it,” he said.

New markets, new demand

The smart phone revolution has energized 200mm production, adding to a growing appetite for MEMS sensors, analog, and power ICs. Going forward, the Internet of Things, new medical devices, and flexible and wearable products may drive new demand, speakers said at the symposium.

Jason Marsh, director of technology for the government and industry-backed NextFlex R&D alliance based in San Jose, Calif., said many companies see “real potential” in making products which have “an unobtrusive form factor that doesn’t alter the physical environment.” He cited one application: a monitoring device worn by hospital patients that would reduce the occurrence of bed sores. These types of devices can be made with “comparatively yesteryear (semiconductor) technology” but require new packaging and system-level expertise.

Legacy devices made on 200mm wafers could get a boost from the increasing ability to combine several chips made with different technologies into fan out chip scale packages (FO CSPs). Bill Chen, a senior advisor at ASE Group, showed several examples of FO CSPs which combine legacy ICs with processors made on leading-edge nodes. “When we started this wafer-level development around 2000 we thought it would be a niche. But now about 30 percent of the ICs used in smart phones are in wafer-level CSPs. It just took a lot of time for the market forces to come along.”

More coverage from this year’s SEMICON West can be found here.

By Shannon Davis, Web Editor

“There’s never been a better time to connect” was the theme of John Kern’s keynote address at SEMICON West 2016 Tuesday morning, though it was clear from his speech that connecting – or digitizing – supply chains is not just a good idea, but imperative in the current ever-changing climate of the electronics supply chain.

John Kern, Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

John Kern, Senior Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

“If you’re not investing in digitization today, it’s going to be very, very difficult for you to remain relevant over the next decade,” Kern urged his audience.

Kern, who is Senior Vice President of Supply Chains at Cisco Systems, came equipped with several compelling case studies from his team’s own experiments, to make the case for why connecting the supply chain is so vital to innovation and profitability.

The first case study that Kern presented showed Cisco’s results from monitoring energy and energy costs in a factory setting. His team deployed a network of thousands of sensors that monitored energy readings of every piece of equipment in one of Cisco’s Malaysian factories, so teams could gather data and analytics on each piece’s performance. This initiative allowed the factory team to make changes in equipment to optimize performance, which resulted in a 12% energy reduction and a 1 million USD cost savings, which amounted to a full return on investment achieved in less than 10 months.

Kern also envisions a path to tens of millions of dollars in capital savings each year with adaptive testing, an initiative that’s currently saving Cisco test engineers man hours and allowing them to return to high value work. Kern said that Cisco was able to leverage analytics capabilities of a software they owned called Auto Test, along with Cisco’s own 10-15 years of test information, to build a test system that is now capable of machine-to-machine learning.

“The tests are becoming adaptive; they’re changing themselves,” said Kern, “and they’re notifying the engineers when they’re making a change.”

In addition to the cost and time savings, Kern believes this also allows for engineers to develop higher quality products.

And these products are also reaching the market faster, thanks to a Cloud-based supplier collaboration platform Cisco is using, that is allowing all of their suppliers to see real-time changes in demand and real-time changes in supply response, eliminating the bull-whip effect in the supply chain.

“We’ve also seen substantial improvement in product lead time,” Kern said. “We’re able to solve issues [with our suppliers] in a much faster way.”

Ultimately, this is where Kern says Cisco and its supply chain is headed: to what he calls supply chain orchestration.

“We’re trying to move this from a big IT project to having literally hundreds of people in our supply chain that are equipped to change the nature of their work every day,” he said. “If they understand the technology, they’re empowered to change the nature of their work.”

“This is the path for breakthrough productivity,” he concluded. “If you’re not investing heavily in these concepts today, it will be hard for you to stay relevant in the next decade.”

Semiconductor manufacturers and their suppliers – both process tool vendors and providers of sub-fab systems – are looking to an open industrial networking methodology, EtherCAT, developed by Beckhoff Automation (Verl, Germany; m.beckhoff.com) to address the increasingly stringent control requirements of emerging high-precision processes.

During SEMICON West, early adopters are promoting EtherCAT as a next-generation real-time Ethernet control solution, with a variety of attributes: it is fast (good for controlling ever-more precise process recipes), open, and extendable to many more nodes than existing networking protocols. Those attributes make EtherCAT attractive to tool makers such as Applied Materials, Lam Research, and Tokyo Electron Ltd., as well as sub-systems suppliers such as Edwards (Crawley, England).

Fab managers increasingly are looking ahead to the availability of predictive maintenance and other data-based productivity approaches, all of which require fast, extendable networks.

EtherCAT is fast enough for near real-time control. Andrew Chambers, a product manager at Edwards, gave the example of a process recipe that requires a change in gas flow, resulting in a deviation in chamber pressure. To maintain good process control the pressure controller must respond to the change in flow as quickly as possible in order not to lose time as the process chamber conditions stabilize. The EtherCAT control architecture can enable the change in flow, and pre-emptively adjust the pressure control, in real time, using a central controller over the EtherCAT network, rather than relying on the devices responding individually to changes in circumstances.

Increasingly, shrinking device geometries and the trend towards “atomic-scale engineering” are putting pressure on the process tools to control all process parameters with high precision in real time. EtherCAT supporters argue that with very short cycle times and response rates, real-time process control becomes realizable, overcoming the problems that arise from serial control and looped-in control, which can introduce delays in the system.

Edwards’ Gerald Shelley said as tool vendors seek to improve processes, they may need to reduce individual process steps to less than one second. That in turn requires a fast network to enable parameter changes at a correspondingly high rate.

Beckhoff Automation developed EtherCAT based on a specific functional principle, they describe it as “processing on the fly,” which supports very short cycle times. EtherCAT’s rapid response times have therefore proved attractive to semiconductor process tool developers, Shelley said.

Flexibility, another key virtue, allows EtherCAT to support more than 65,000 nodes on a network. “It’s extendable. It can be reconfigured. And there is an emerging option where the network itself can provide power to the devices attached to the network, which reduces the cabling requirements to the system,” Chambers said. Pre-existing, conventional fieldbus networks can be added to the EtherCAT network as additional nodes. “If you’ve got a pre-existing system that you want to integrate into something new that has an EtherCAT network, then you can do that,” he also noted.

As an open protocol network, any party can use EtherCAT, which is described in international standards.

“It has the benefit that it doesn’t need any particularly special infrastructure components to make it run. There’s not a special master device. The devices themselves can incorporate the EtherCAT protocol. You can simply plug a device into the network and have it run. That makes it relatively easy to use,” Shelley added.

Toolmakers, such Applied Materials, Lam Research and Tokyo Electron Ltd., currently use a wide variety of tool control systems on their diverse product ranges. EtherCAT is seen as a route towards a common, adaptable control architecture that could support a diversity of process tools on a common platform.

Beckhoff Automation, with about 3,000 employees worldwide, has worked with its business partners to set up the EtherCAT Technology Group to further develop EtherCAT. The technology group currently has 3,810 members, up from just 300 in 2006.

“There will be open standards so that they’re available to all interested parties, but in particular the profiles of the devices which can be added to any EtherCAT network, the profiles which control how devices respond and communicate with a network, are being generated and developed by the supplier working groups, of which Edwards is a member. We, along with a wide range of other sub-system suppliers are developing devices to meet the requirements for installation in EtherCAT networks, to be able to provide the functions and features that are needed by the semiconductor industry,” Shelley said.

In the future, process tool manufacturers will be able to select from a range of devices with similar functionality which will fit on the same network, so it reduces the dependency of toolmakers on specific individual suppliers. This enables process tool makers to develop advanced bespoke control algorithms and address emerging process challenges.

“From a total process control perspective, our view is that as high volume manufacturing moves towards smaller and smaller nodes, introduction of those processes is going to depend on a complete sub-fab process solution per process tool. These solutions will be based on some kind of integrated best-known method that describes how you set up the sub-fab equipment to deliver what the process vendor needs,” Chambers added.

Predictive maintenance, Intelligent devices

Next-generation sub-fab systems will require the ability to analyze data gathered within the system, or within the submodules within the system. The system will be comprised of intelligent devices, all generating data. “The question that we all have to address is how do you turn huge amounts of data into useful information. We believe that the manufacturer of the sub-fab equipment is well placed to turn raw data into useful information, which then can be relayed to the process tool,” Chambers said.

Relaying that information to the process tool is where the EtherCAT network plays an important role. “The sub-fab equipment could be hooked up to the process tool control network as a node on the EtherCAT network, despite the fact that what’s going on within the integrated sub-fab system doesn’t depend on EtherCAT for its functionality,” he said.

The process tool and the sub-fab equipment are able to exchange operational data or information in real time over an EtherCAT network. “That means if things are happening in the process tool that would benefit from a change in what’s happening in the sub-fab, then that data can be shared, and the sub-fab equipment can adapt itself to whatever the process tool is doing at that specific time, with the result that new and more efficient modes of operation are possible across the tool” he added.

“The equipment in the sub-fab will be generating vast amounts of data. Our intent is that the sub-fab equipment itself processes the data to turn it into information, and the kinds of information that we’re talking about is working up predictive maintenance algorithms so you can effectively predict when, for example, a dry pump or abatement system is going to need service attention, with sufficient advance notice that it can be scheduled into the process tools job schedule,” he said.

“The key point is neither a process toolmaker nor a sub-fab equipment supplier is able to do this in isolation. The whole thing becomes an iterative partnership between the tool operator, the OEM, and the sub-fab equipment maker. Going forward, we can see the emergence of process-specific predictive algorithms as a necessary requirement to enable fully cost-effective device manufacturing,” Chambers said.

A major theme at SEMICON West 2016 is Smart Manufacturing, a.k.a. Industry 4.0 and Industrial IoT (IIoT). One definition of smart manufacturing, said Tom Salmon, the SEMI vice president of collaborative technology platforms, is the use of production and sensor data with manufacturing technologies to enable adaptability in processing. It encompasses automation, data exchange, and the transfer of product design data and manufacturing state data.

SEMI estimates that by 2020 there will be about a billion IoT devices at work in manufacturing facilities. By 2020, global manufacturers will invest $70 billion in IoT solutions that year, compared with $29 billion in 2015.

Figure 1. What the future may look like for smart manufacturing in the semiconductor industry.

Figure 1. What the future may look like for smart manufacturing in the semiconductor industry.

Currently, these devices are used largely to track factory assets, to consolidate control rooms, and to increase analytics functionality through predictive maintenance. The goal is that product design data and manufacturing state data will travel through the manufacturing process with the product. This requires that data is communicated to product lifecycle systems at the product companies and to service providers simultaneously.

A number of SEMI standards are facilitating this shift, including Equipment Data Acquisition (EDA), to improve and facilitate communication between manufacturer’s data gathering software applications and factory equipment.

SEMI kicked off an advisory council around smart manufacturing, and will coordinate a Smart Manufacturing symposium at SEMICON West on Wednesday, July 14, and again at SEMICON Europa on Oct. 25 in Grenoble, France.

Thomas Sonderman, vice president/GM of Rudolph Technologies’ software business, said the advisory council links the fabless and the equipment OEM supplier communities. One goal, Sonderman said, is “to help understand what’s required to really take on these concepts, and turn them into something that people can use to improve their overall fab efficiency.”

At the Smart Manufacturing Symposium, Sonderman will discuss what he calls traceability: optimizing the supply chain by blending IoT technologies. How information is acquired and used for Big Data predictive analytics and machine learning is one key aspect. “How do you turn data into some kind of actionable intelligence? I think the idea is to get some consensus around what it actually is, and then what’s required to make it successful,” Sonderman said.

Data security is also important. Data that comes out of fabs is of interest to suppliers, the fabless community and IP companies, among others who create a virtual IDM. “How does a Qualcomm get access to their relevant information, and on the other side, how does a company like Tokyo Electron Ltd. (TEL) or Applied Materials or Lam Research get access to that same information so that everybody can make the right decisions and shift the paradigm from reactive to a predictive/proactive approach.

“We need to go from ‘Hey, I have this problem. What caused it? How can I go fix it,’ to ‘What kind of analysis do I need to do to run my business? What kind of business intelligence is required to run the business, and how can I create analytical scenarios so that I can make sure that I have the information relevant to me to make decisions I need to minimize my time to market, and maximize my profitability?’”

In order for smart manufacturing to succeed, companies must be able to build confidence that they can share data securely. (At Wednesday’s symposium, NextNine, an Israeli IT security company, will present its work with TEL, several U.S. security agencies, and others concerned with moving information around securely).

One opportunity, Sonderman said, is to provide information-linking capabilities to 200mm and smaller wafer manufacturers, making RF filters, sensors, and other products.

“They don’t have a lot of the traditional capabilities that you come to expect. The idea is to link their information together but do it in a way where you can adapt it into those older facilities,” he said.

Rather than use a standard SECS/GEM interface, some tool data can be acquired wirelessly.

“There are all types of information that are relevant to the products, and if you think about what goes on a lot in the fabs it is linking what goes on in the product to what’s going on inside the tools. At legacy or non-leading-edge technology fabs, some of this in itself is a challenge,” he said.

Manufacturers also seek to link metrology data, with two different threads of information coming in: one from wafer-level metrology, and another stream of information from the equipment, which collects data each time the wafer crosses that piece of equipment. Also relevant is product information, including processes that can run multiple products. Figure 1 shows how this kind of data may be collected and shared in the future.

“The concept here is that you link these together in threads and then you create what we call the thread synchronization engine, which allows taking all of this relevant information and create a tapestry of data, which is a very pure data set that’s very representative of the combination of all these different factors,” Sonderman said.

The same types of information threads are woven together in the back-end (packaging) operations, where advance analytics are becoming as essential as in front-end processes.

Analytics are multifaceted, involving everything from visualization, data mining, spatial pattern recognition, and virtual metrology information. “Ultimately what I’m doing is trying to create a wafer-level signature and a tool-level signature and combine those together to create some kind of information I can take action on. That’s the actionable Data Now concept,” he said.

The goal is to combine information, separating the signal from the noise, and then analyze the data to ascertain whether or not a given process step or combination of process steps has contributed to yield loss. By drilling down into the shared data, engineers can discover whether a tool or set of tools is causing the problems.

“This is where things get really interesting. First, you have got to link everything together across the supply chain. Then you have to start looking at how do I drill down inside the equipment?” he said.

Large fabs with literally thousands of tools in operation are collecting huge amounts of information, essentially time series-based data. Linking tool information into an analytical combination with wafer-level information (what was going on inside the tool when those wafers were processed) is a powerful way to improve efficiencies. “That’s where this combination of big data analytics and traditional real time FDC is coming together,” Sonderman said.

To make this work, companies need a Big Data architectural environment, which combines structured data (in many cases in an Oracle database) with unstructured data (often text data, such as maintenance logs). Finally, there is a third space, a combination of time series-based data, such as images and spatial patterns.

The challenge, Sonderman said, is to link all the data together, standardizing the data so that it can be matched with various machine-learning algorithms. “From that I can analyze the data and start spitting out useful information that people can take action on,” he said.

To do that, the industry must deal with the security challenge. “There are ways to solve that challenge, but if we don’t solve that as an industry — and it really is an industry challenge — then we’re going to be handcuffed in terms of being able to take this technology to its ultimate realization. I think that’s now become the priority, versus preparing for the next wafer size and all that,” Sonderman said.

SEMI today announced that Jon D. Kemp, president of DuPont Electronics & Communications, and Tadahiro Suhara, president of SCREEN Semiconductor Solutions Co., Ltd., were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.

Nine current board members were re-elected for a two-year term: Martin Anstice, president and CEO of Lam Research; Kevin T. Crofton, president of SPTS Technologies (an Orbotech company); Mitsunobu (Nobu) Koshiba, representative director and president of JSR Corporation; Yong Han (YH) Lee, chairman of Wonik; Sue Lin, vice chairman of Hermes Epitek Corporation; Tetsuo (Tom) Tsuneishi, chairman of the Board of Tokyo Electron Ltd.; Tien Wu, director and COO of ASE Group; Natsunosuke Yago, president,  representative director, and chairman of Ebara Corporation; and Guoming Zhang, executive VP of Sevenstar Electronics.

Additionally, the SEMI Executive Committee confirmed Yong Han Lee, chairman of Wonik as SEMI chairman, and Tetsuo Tsuneishi, chairman of the Board of Tokyo Electron, Ltd. as SEMI vice-chairman.

The leadership appointments and the elected board members’ tenure become effective at the annual SEMI membership meeting on July 13, during SEMICON West 2016 in San Francisco, California.

“SEMI and its membership are fortunate to have an accomplished, diverse and global board to oversee the association’s strategic direction,” said SEMI president and CEO Denny McGuirk.  “We appreciate our board members’ contributions to the industry, congratulate the re-elected members, and welcome Jon Kemp and Suhara-san, who begin their terms of service as SEMI directors.”

SEMI’s 19 voting directors and 11 emeritus directors represent companies from Europe, China, Japan, Korea, North America, and Taiwan, reflecting the global scope of the association’s activities. SEMI directors are elected by the general membership as voting members of the board and can serve a total of five two-year terms.

MEMS & Sensors Industry Group (MSIG) invites attendees to a special half-day workshop on the convergence of MicroElectroMechanical Systems (MEMS) devices, sensors, flexible substrates and semiconductors in the Internet of Things (IoT) at SEMICON West on July 13, 2016. Speakers will explore the theme “From Collision to Convergence: Co-Creating Solutions in the Semiconductor and MEMS/Sensors Industry” as they address a new and necessary level of collaboration for enabling IoT and other growing applications.

“The supply chain for the IoT is complex, and navigating its dynamic ecosystem requires collaboration among stakeholders,” said Karen Lightman, executive director, MEMS & Sensors Industry Group. “By focusing on pre- and non-competitive challenges, industry players work toward common goals that benefit all — and that are only possible through collaborative effort. Attendees of the MSIG and SEMI joint workshop will get updates on the most pressing challenges to the increased use of MEMS, sensors and semiconductors in IoT applications.”

“Our joint workshop with MSIG at SEMICON West 2016 is a great forum to work together through the key convergence issues as well as to set the agenda for next steps on our shared goals,” said Denny McGuirk, president and CEO of SEMI. “SEMI and MSIG started with a joint survey on MEMS, sensors and semiconductors in early 2015 and immediately found traction among industry players. With its focus on industry realities like consolidation and the extended supply chain, this workshop takes on the key intersections and inflections.”

MSIG Chief Strategy Officer Steve Whalley and SEMI Vice President of Product Management and Business Development Bettina Weiss will co-chair the joint workshop. The agenda features:

  • Keynote: Leveraging M&A in a Converging Semiconductor and MEMS/Sensor IoT World, Greg Mischou, senior partner, Woodside Capital Partners, LLC
  • Panel discussion with panelists from:
    • A.M. Fitzgerald and Associates
    • Electronic System Design Alliance
    • FlexTech
    • Lam Research
    • Woodside Capital Partners
  • Breakout Sessions — breakout groups will report on specific actions that companies can take to address these challenges/opportunities.

MSIG and MSIG member companies will be on the show floor at SEMICON West. Visit MSIG in Booth N4 or visit http://msigevents.org/semicon-west-2016 for a list of MSIG exhibiting member companies and partners.

The MSIG and SEMI joint workshop takes place July 13, 2016 from 1:00-5:00 p.m. at the San Francisco Marriott Marquis, 780 Mission Street. Pre-registration is required: http://bit.ly/28IOUbK