Category Archives: Semiconductors

By David W. Price, Douglas G. Sutherland and Jay Rathert

Author’s Note: The Process Watch series explores key concepts about process control—defect inspection, metrology and data analysis—for the semiconductor industry. This article is the first in a five-part series on semiconductors in the automotive industry. In this article, we introduce some of the challenges involved in the automotive supply chain. Future articles in the series will address specific process control solutions to those challenges.

In the 1950s less than 1% of the total cost of manufacturing a car was comprised of electronics. Today that cost can be more than 35% of the total and it is expected to increase to 50% by the year 2030.1 The rapid increase in the use of electronics in the automotive industry has been driven by four main areas:

  1. Systems monitoring and control (electronic fuel injection, gas-electric hybrids, etc.)
  2. Safety (anti-lock brakes, air bags, etc.)
  3. Advanced Driver Assistance Systems (lane departure warning, parking assist, blind spot monitoring, adaptive cruise control, etc.)
  4. Convenience (satellite navigation, infotainment, etc.)

Semiconductor components are at the core of the electronics integrated in cars, and depending on the make and model, a modern car may require as many as 8000 chips.2 This number will only increase as autonomous driving gains popularity – additional electronic subsystems with their underlying ICs will power the sensors, radar and AI needed for driverless cars.

With over 88 million cars and light trucks produced every year,3 each with thousands of chips, the influence of the automotive industry on semiconductor manufacturing is starting to take hold. There is one simple fact about these thousands of chips found in a car: they cannot fail. Reliability is absolutely critical for automotive semiconductor components. Any chip that fails in the field can result in costly warranty repairs and recalls, can damage the image of the automaker’s brand – or at the extreme, can result in personal injury or even loss of life.

If the average car contains 5000 chips and the automaker produces 25,000 cars per day, then even a chip failure rate at the parts per million (ppm) level will result in more than 125 cars per day that experience reliability issues as a result of chip quality. With semiconductors as the top issue on automakers’ failure Pareto,4 Tier 1 automotive system suppliers are now demanding parts per billion (ppb) levels of semiconductor quality with an increasing trend toward a maximum number of “total allowable failure events” regardless of volume. Current methods for finding reliability failures are overly dependent on test and burn-in, and as a result, the quality targets are missed by orders of magnitude. Increasingly, challenging audit standards are pushing for reliability failures to be found at their source in the fab, where costs of discovery and corrective action are the lowest. To enter this growing market segment – or simply maintain share – IC manufacturers must aggressively address this inflection in chip reliability requirements.

Fortunately for semiconductor manufacturers, chip reliability is highly correlated to something they know very well: random defectivity.5 In fact, for a well-designed process and product, early-life chip reliability issues (extrinsic reliability) are dominated by random defectivity.6-12 A killer defect (one that impacts yield) is a defect that causes the device to fail at time t = 0 (final test). A latent defect (one that impacts chip reliability) is a defect that causes the device to fail at t > 0 (after burn-in). The relationship between killer defects (yield) and latent defects (reliability) stems from the observation that the same defect types that impact yield also impact reliability. The two are distinguished primarily by their size and where they occur on the device structure. Figure 1 shows examples of killer and latent defects that result in open and short circuits.

Figure 1

Figure 1. The same defect types that impact yield also affect reliability. They are distinguished primarily by their size and where they occur on the device’s pattern structure.

The relationship between yield and reliability defects is not limited to a few specific defect types; any defect type that can cause yield loss is also a reliability concern. Failure analysis indicates that the majority of reliability defects are, in fact, process-related defects that originate in the fab. Because yield and reliability defects share the same root cause, increasing yield (by reducing yield-related defects) will have the additional benefit of improving reliability.

The yellow line in figure 2 shows a typical yield curve. If we only consider chip yield, then at some point, further investment in this process may not be cost-effective and thus the yield tends to level off as time progresses. The blue dashed line in figure 2 shows the curve for the same fab making the same product. However, if they want to supply the automotive industry then they must also account for the costs of poor reliability. In this case further investment is warranted to drive down defect density even further, which will both increase yield and deliver the improved reliability required for automotive suppliers.

Figure 2. Yield curves (Yield versus Time) for different fab types. The yellow line is for non-automotive fabs where the major consideration is fab profitability. At some point the yield is high enough that it is no longer practical to continue trying to drive down defectivity. The blue dashed line is the yield curve that also factors in reliability. For IC products used in the automotive supply chain additional investment must be made to ensure high reliability, which is strongly correlated to yield.

Figure 2. Yield curves (Yield versus Time) for different fab types. The yellow line is for non-automotive fabs where the major consideration is fab profitability. At some point the yield is high enough that it is no longer practical to continue trying to drive down defectivity. The blue dashed line is the yield curve that also factors in reliability. For IC products used in the automotive supply chain additional investment must be made to ensure high reliability, which is strongly correlated to yield.

The change from being a consumer-grade chip supplier to an automotive supplier requires a paradigm shift at the fab management level. Successful semiconductor manufacturers who supply the automotive industry have long adopted the following strategy: The best way to reduce the possibility of latent (reliability) defects is to reduce the fab’s overall random defectivity levels. This means having a world class defect reduction strategy:

  1. Higher baseline yields
  2. Lower incidence of excursions
  3. When excursions do occur, quickly find and fix them inline
  4. Ink out suspicious die using die-level screening

 

These and other strategies will be addressed in forthcoming articles in this Process Watch automotive series.

 

About the Authors:

 

Dr. David W. Price and Jay Rathert are Senior Directors at KLA-Tencor Corp. Dr. Douglas Sutherland is a Principal Scientist at KLA-Tencor Corp. Over the last 15 years, they have worked directly with over 50 semiconductor IC manufacturers to help them optimize their overall process control strategy for a variety of specific markets, including automotive reliability, legacy fab cost and risk optimization, and advanced design rule time-to-market BKMs. The Process Watch series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

 

References:

 

  1. https://www.statista.com/statistics/277931/automotive-electronics-cost-as-a-share-of-total-car-cost-worldwide/
  2. Senftleben and Froehlich, Aspects of Semiconductor Quality from an OEM Perspective, April 2017.
  3. http://www.businessinsider.com/2016-was-a-record-breaking-year-for-global-car-sales-and-it-was-almost-entirely-driven-by-china-2017-1
  4. https://www.consumerreports.org/car-reliability-owner-satisfaction/consumer-reports-car-reliability-survey-2017/
  5. Price and Sutherland, “Process Watch: The Most Expensive Defect, Part 2,” Solid State Technology, July 2015.
  6. Riordan et al., “Microprocessor Reliability Performance as a Function of Die Location for a .25um, Five Layer Metal CMOS Logic Process,” 37th Annual International Reliability Physics Symposium Proceedings (1999): 1-11. http://dx.doi.org/10.1109/RELPHY.1999.761584
  7. Barnett et al., “Extending Integrated-Circuit Yield Models to Estimate Early-Life Reliability,” IEEE Transactions on Reliability, Vol. 52, No. 3., 2003.
  8. Shirley, “A Defect Model of Reliability,” 33rd Annual International Reliability Symposium, Las Vegas, NV, 1995.
  9. Kim et al., “On the Relationship of Semiconductor Yield and Reliability,” IEEE Transactions on Semiconductor Manufacturing, Vol. 18, No. 3, 2005.
  10. Roesch, “Reliability Experience,” Published lecture #12 for Quality and Reliability Engineering ECE 510 at Portland State University, 2013. http://web.cecs.pdx.edu/~cgshirl/Quality%20and%20Reliability%20Engineering.htm
  11. Shirley and Johnson, “Defect Models of Yield and Reliability,” Published lecture #13 for Quality and Reliability Engineering ECE 510 course at Portland State University, 2013. http://web.cecs.pdx.edu/~cgshirl/Quality%20and%20Reliability%20Engineering.htm
  12. Kuper et al., “Relation between Yield and Reliability of Integrated Circuits: Experimental results and Application to Continuous Early Failure Rate Reduction Programs,” Proceedings of the International Reliability Physics Symposium (1996): 17-21.

By Emir Demircan, Senior Manager Advocacy and Public Policy, SEMI Europe

Electronic manufacturing is becoming cool to today’s youth. STEM skills are hot in the global job market – though the number of females pursuing a STEM education continues to lag. Work-based learning is key to mastering new technologies. And the electronics industry needs a global talent pipeline more than ever.

These were key highlights from a SEMI Member Forum in December that brought together industry representatives and students in Dresden to weigh in on job-skills challenges facing the electronics manufacturers and solutions for the industry to consider. Here are the takeaways:

1) Electronics is much more than manufacturing

For many years, working in the manufacturing industry was not an appealing prospect for millennials. This picture is certainly changing. The pivotal role of electronics manufacturing in helping solve grand societal challenges in areas such as the environment, healthcare and urban mobility is reaffirmed by countries around the world. Electronics is the lifeblood of game-changing technologies such as autonomous driving, AI, IoT, and VR/AR, enticing more young employees into careers in research, design, technology development, production, cyber security and international business, and in disciplines ranging from engineering and data analytics to software development and cyber security.

What’s more, the drudgery of many factory jobs is disappearing thanks to automation, digitization and robotization. According to CEDEFOP, the European Centre for the Development of Vocational Training, low-skilled jobs in electro-engineering and machine operations/assembly in the European Union (EU) is projected to decrease 6.98 percent and 2.03 percent, respectively, between 2015 and 2025.

In parallel, the industry will need more high-skilled workers. For instance, within the same period, CEDEFOP forecasts a 12.51 percent increase in jobs for EU researchers and engineers. Soft skills will see high demand too. As the electronics industry continues to globalize and drive the integration of vertical technologies, workers proficient in communicating in an international environment, leading multicultural teams, developing tailor-made solutions and making data-driven decisions will see higher demand.

2) STEM skills will remain under the spotlight

Continuous innovation is the oxygen of the electronics manufacturing industry, powering the development of highly customized solutions by workers with technical expertise in chemistry, materials, design, mechanics, production and many other fields. In addition, capabilities such as smart manufacturing require workers with growing technical sophistication in areas such as software, information and communications technology (ICT) and data analytics, stiffening the challenge the electronics industry faces in finding skilled workers. Little wonder that employers in Europe struggle to build a workforce with the right technical expertise. The findings of the study “Encouraging STEM Studies for the Labour Market” conducted by the European Parliament underscores the difficulty of hiring enough workers with adequate STEM skills:

  • The proportion of STEM students is not rising at the European level and the underrepresentation of women persists.
  • Businesses are expected to produce about 7 million new STEM jobs, an uptick of 8 percent, between 2013 and 2025 in Europe.

3) The women-in-tech gap is becoming more persistent 

The global manufacturing industry suffers from strikingly low female participation in STEM education and careers. According to UNSECO, in Europe and North America, the number of female graduates in STEM is generally low. For instance, women make up just 19 percent of engineers in Germany and the U.S. The European Parliament study confirms that STEM employment remains stubbornly male-dominated, with women filling just 24 percent of science and engineering jobs and 15 percent of science and engineering associate positions in Europe. According to an article by Guardian, a mere 16 percent of computer science undergraduates in the United Kingdom and the U.S. are female. This yawning gender gap is a deep concern for electronics manufacturing companies in Europe, hampering innovation in a sector that relies heavily on diversity and inclusion and shrinks the talent pipeline critical to remaining competitive.

4) Coping with new technologies: work-based learning is the key

The evolution of the electronics industry since the 1980s has been swift. PCs emerged largely as islands of communication, then became networked. Networking bred the proliferation of social platforms and mobile devices and, today, is giving rise to IoT. Education curricula in Europe, however, have not matured at the same pace, opening a gap between the worlds of industry and education and imposing a formidable school-to-work transition for many young graduates. Work-based learning, which helps students develop the knowledge and practical job skills needed by business, is one solution. The industry reports that work-based learning is vital to remaining competitive in the long run. Innovative dual-learning programmes, apprenticeships and industrial master’s and doctorates are shining examples that are already paying off in some parts of Europe. Such work-based learning models can be extended as a common pillar of education in Europe.

5) A global industry needs a global talent pipeline

The electronics value chain workforce needs an international and multicultural talent pipeline, chiefly spanning the U.S., Europe and Asia. However, many European manufacturers, in particular small and medium enterprises (SMEs), report that building an international workforce remains a challenge due to employment and immigration law barriers as well as cultural and linguistic differences. The EU’s Blue Card initiative, designed to facilitate hiring beyond Europe, is a step in the right direction. Nevertheless, with the exception of Germany, EU member states have made little or no use of the EU Blue Card scheme.

SEMI drives sector-wide initiatives on workforce development

Understanding the urgency, SEMI is accelerating its workforce development activities at global level. Contributing to this initiative, the SEMI talent pipeline Forum in Dresden served as an effective platform for the industry to share its challenges and opportunities with students at various education levels. Led by industry representatives, the sessions enabled the exchange of workforce-development best practices and paved the way for further collaboration among industry, academia and government in Europe. For example, in the Career Café session, students networked with hiring managers. Other workforce development initiatives include:

To help position the skills challenges faced by SEMI members high on the public policy agenda, SEMI in 2017 joined several policy groups including Digital Skills and Jobs Coalition and Expert Group on High-Tech Skills. Last year SEMI also launched Women in Tech, an initiative that convenes industry leaders to help increase female representation in the sector. SEMI also educates its members about key EU resources such as the Blue Card and Digital Opportunity Internship programmes aimed at hiring international talent. In 2018, SEMI will reach out to even more young people through its High Tech U programme to raise awareness of careers in electronics. SEMICON Europa 2018 will host dedicated talent pipeline sessions to help the industry tackle its skills challenges. ISS Europe 2018 sessions on Gaining, Training and Retaining World Class Talent will disseminate best practices to the wider industry. Also this year, SEMI Europe plans to start a new advisory group, “Workforce 4.0,” dedicated to bringing together human resources leaders in the sector to give the electronics manufacturing industry a stronger voice on workforce development.

 

The internet of things is coming, that much we know. But still it won’t; not until we have components and chips that can handle the explosion of data that comes with IoT. In 2020, there will already be 50 billion industrial internet sensors in place all around us. A single autonomous device – a smart watch, a cleaning robot, or a driverless car – can produce gigabytes of data each day, whereas an airbus may have over 10 000 sensors in one wing alone.

Two hurdles need to be overcome. First, current transistors in computer chips must be miniaturized to the size of only few nanometres; the problem is they won’t work anymore then. Second, analysing and storing unprecedented amounts of data will require equally huge amounts of energy. Sayani Majumdar, Academy Fellow at Aalto University, along with her colleagues, is designing technology to tackle both issues.

Majumdar has with her colleagues designed and fabricated the basic building blocks of future components in what are called “neuromorphic” computers inspired by the human brain. It’s a field of research on which the largest ICT companies in the world and also the EU are investing heavily. Still, no one has yet come up with a nano-scale hardware architecture that could be scaled to industrial manufacture and use.

“The technology and design of neuromorphic computing is advancing more rapidly than its rival revolution, quantum computing. There is already wide speculation both in academia and company R&D about ways to inscribe heavy computing capabilities in the hardware of smart phones, tablets and laptops. The key is to achieve the extreme energy-efficiency of a biological brain and mimic the way neural networks process information through electric impulses,” explains Majumdar.

The probe-station device (the full instrument, left, and a closer view of the device connection, right) which measures the electrical responses of the basic components for computers mimicking the human brain. The tunnel junctions are on a thin film on the substrate plate. Credit: Tapio Reinekoski

The probe-station device (the full instrument, left, and a closer view of the device connection, right) which measures the electrical responses of the basic components for computers mimicking the human brain. The tunnel junctions are on a thin film on the substrate plate. Credit: Tapio Reinekoski

Basic components for computers that work like the brain

In their recent article in Advanced Functional Materials, Majumdar and her team show how they have fabricated a new breed of “ferroelectric tunnel junctions”, that is, few-nanometre-thick ferroelectric thin films sandwiched between two electrodes. They have abilities beyond existing technologies and bode well for energy-efficient and stable neuromorphic computing.

The junctions work in low voltages of less than five volts and with a variety of electrode materials – including silicon used in chips in most of our electronics. They also can retain data for more than 10 years without power and be manufactured in normal conditions.

Tunnel junctions have up to this point mostly been made of metal oxides and require 700 degree Celsius temperatures and high vacuums to manufacture. Ferroelectric materials also contain lead which makes them – and all our computers – a serious environmental hazard.

“Our junctions are made out of organic hydro-carbon materials and they would reduce the amount of toxic heavy metal waste in electronics. We can also make thousands of junctions a day in room temperature without them suffering from the water or oxygen in the air”, explains Majumdar.

What makes ferroelectric thin film components great for neuromorphic computers is their ability to switch between not only binary states – 0 and 1 – but a large number of intermediate states as well. This allows them to ‘memorise’ information not unlike the brain: to store it for a long time with minute amounts of energy and to retain the information they have once received – even after being switched off and on again.

We are no longer talking of transistors, but ‘memristors’. They are ideal for computation similar to that in biological brains. Take for example the Mars 2020 Rover about to go chart the composition of another planet. For the Rover to work and process data on its own using only a single solar panel as an energy source, the unsupervised algorithms in it will need to use an artificial brain in the hardware.

“What we are striving for now, is to integrate millions of our tunnel junction memristors into a network on a one square centimetre area. We can expect to pack so many in such a small space because we have now achieved a record-high difference in the current between on and off-states in the junctions and that provides functional stability. The memristors could then perform complex tasks like image and pattern recognition and make decisions autonomously,” says Majumdar.

Insulating oxides are oxygen containing compounds that do not conduct electricity, but can sometimes form conductive interfaces when they’re layered together precisely. The conducting electrons at the interface form a two-dimensional electron gas (2DEG) which boasts exotic quantum properties that make the system potentially useful in electronics and photonics applications.

Researchers at Yale University have now grown a 2DEG system on gallium arsenide, a semiconductor that’s efficient in absorbing and emitting light. This development is promising for new electronic devices that interact with light, such as new kinds of transistors, superconducting switches and gas sensors.

“I see this as a building block for oxide electronics,” said Lior Kornblum, now of the Technion – Israel Institute of Technology, who describes the new research appearing this week in the Journal of Applied Physics, from AIP publishing.

Oxide 2DEGs were discovered in 2004. Researchers were surprised to find that sandwiching together two layers of some insulating oxides can generate conducting electrons that behave like a gas or liquid near the interface between the oxides and can transport information.

Researchers have previously observed 2DEGs with semiconductors, but oxide 2DEGs have much higher electron densities, making them promising candidates for some electronic applications. Oxide 2DEGs have interesting quantum properties, drawing interest in their fundamental properties as well. For example, the systems seem to exhibit a combination of magnetic behaviors and superconductivity.

Generally, it’s difficult to mass-produce oxide 2DEGs because only small pieces of the necessary oxide crystals are obtainable, Kornblum said. If, however, researchers can grow the oxides on large, commercially available semiconductor wafers, they can then scale up oxide 2DEGs for real-world applications. Growing oxide 2DEGs on semiconductors also allows researchers to better integrate the structures with conventional electronics. According to Kornblum, enabling the oxide electrons to interact with the electrons in the semiconductor could lead to new functionality and more types of devices.

The Yale team previously grew oxide 2DEGs on silicon wafers. In the new work, they successfully grew oxide 2DEGs on another important semiconductor, gallium arsenide, which proved to be more challenging.

Most semiconductors react with oxygen in the air and form a disordered surface layer, which must be removed before growing these oxides on the semiconductor. For silicon, removal is relatively easy — researchers heat the semiconductor in vacuum. This approach, however, doesn’t work well with gallium arsenide.

Instead, the research team coated a clean surface of a gallium arsenide wafer with a layer of arsenic. The arsenic protected the semiconductor’s surface from the air while they transferred the wafer into an instrument that grows oxides using a method called molecular beam epitaxy. This allows one material to grow on another while maintaining an ordered crystal structure across the interface.

Next, the researchers gently heated the wafer to evaporate the thin arsenic layer, exposing the pristine semiconductor surface beneath. They then grew an oxide called SrTiO3 on the gallium arsenide and, immediately after, another oxide layer of GdTiO3. This process formed a 2DEG between the oxides.

Gallium arsenide is but one of a whole class of materials called III-V semiconductors, and this work opens a path to integrate oxide 2DEGs with others.

“The ability to couple or to integrate these interesting oxide two-dimensional electron gases with gallium arsenide opens the way to devices that could benefit from the electrical and optical properties of the semiconductor,” Kornblum said. “This is a gateway material for other members of this family of semiconductors.”

Multibeam Corporation today disclosed a new patent that describes the innovative use of e-beam technology for highly localized precision etching in manufacturing advanced memory and logic ICs. The vast improvement enabled by the new patent highlights the company’s leadership in innovating a high-throughput e-beam platform to enhance the industry’s fabrication capability.

Multibeam’s dynamic e-beam platform concurrently addresses four major applications: Complementary E-Beam Lithography (CEBL) to reduce litho cost; Direct Electron Writing (DEW) to enhance device security; Direct Deposition/Etch (DDE) for highly localized precision etch and deposition using directed electron activation; and E-Beam Inspection (EBI) to speed defect detection and yield ramp.

The new patent describes innovative techniques utilizing electrons to enhance selective removal of material from the substrate at precise locations. The techniques are especially useful for advanced-IC fabrication.

The electrons deliver incremental activation energy to initiate chemical reactions on the wafer surface in the plasma, while leveraging existing etch chemistry. The electrons are directed to exact locations in accordance with the layout database, eliminating optical patterning (including multi-patterning) and masks. The electron-enhancement techniques reduce cost and complexity while complementing conventional plasma etching.

The etch process is further enhanced by innovative kinetic lens technology described in the patent. Each e-beam column is augmented with a gas “lens” that increases local partial pressure of select gas components to accelerate desired chemical reactions. The gas lens also eliminates gas-purge cycles to increase throughput.

A photon lens focuses on the etch target to modulate gas adsorption rate and speed etching. The photon lenses can also act as detectors to ensure precision process monitoring and control.

Each e-beam in the multi-column array is individually controlled. Multiple e-beam process chambers can be integrated into a cluster tool for higher throughput.

Complementary E-Beam technology

Multibeam’s expanding IP portfolio in advanced chip-making and device-security applications seeks to complement and enhance established technology solutions, not to supplant them. Electrons have different properties than the photons used in conventional optical lithography. The e-beam can be controlled directly from a database with no need for masks. Multibeam’s proprietary mini-column makes the process chamber compact and small, enabling multi-chamber clustering to boost throughput. The company’s complementary e-beam technology promises to extend IC fabrication capability, benefiting both semiconductor device manufacturers and their customers.

Multibeam Corporation is a leading electron-beam technology innovator in wafer fab equipment.

ON Semiconductor (Nasdaq: ON) has announced a strategic collaboration with ConvenientPower Systems (CPS) whereby CPS will design, develop and market in-vehicle wireless charging solutions using ON Semiconductor’s NCV6500 application dedicated, power management controller.

The combined initiative is based around a single architecture that is scalable for multiple devices and coils to 15 W. A novel and patented technique for Foreign Object Detection (FOD) ensures safe operation, while the broad charging field provides a true “drop-and-charge” experience for users.

ON Semiconductor’s NCV6500 power management controller provides the essential building block for inductive charging that is compliant with both Qi and PMA standards. Operating from a single 5 V supply, the NCV6500 includes five differential and single-ended operational amplifiers, as well as two comparators with hysteresis and deglitch.

Based upon full NMOS H-bridge drivers, the NCV6500 has on-chip clock generation, including phase shifting and duty cycle control. The device also incorporates significant and important protection features such as coil voltage sense, bridge current sense, and over voltage and over current protection.

Commenting on the announcement, Majid Kafi, Senior Director and General Manager of System Power Solutions at ON Semiconductor, said: “ON Semiconductor has invested heavily in wireless charging, especially in multi-protocol solutions around the 15 W sweet spot within this market. Through this collaboration with CPS we will merge our significant energy efficiency expertise and strength in ASICs with CPS’s system knowledge to provide a total solution that is very firmly focused on meeting the exacting needs of the automotive sector. More than just an ASIC, our solution will combine best-in-class FOD, authentication and firmware support.”

“Integration of in-vehicle wireless power requires a proven track record of technology innovation and safety performance,” said Camille Tang, President, ConvenientPower Systems. “We are delighted that together with ON Semiconductor’s leadership in chip technology, production and distribution, we accelerate further integration and optimization of automotive wireless charging platform performance.”

Combined coil module (Qi) simulation and preliminary sampling, as well as a comprehensive evaluation board, are expected to be available from January 2018 to further simplify the rapid development of wireless charging applications.

A demonstration of the NCV6500 wireless charging technology will be available at ON Semiconductor’s demo room (Venetian 3302) at the 2018 Consumer Electronics Show, January 9–12 in Las Vegas, NV.

Arrow Electronics, Inc. (NYSE:ARW) announced today the successful completion of its acquisition of eInfochips, one of the world’s largest design and managed services companies.

eInfochips is headquartered in San Jose, Calif., with locations in India and Europe, and has 1,500 IoT solution architects, engineers, and software development resources globally. eInfochips’ breadth of capabilities spans chip design, to product and IoT solution delivery, and across many industries, from retail and consumer, to industrial automation, healthcare, and aerospace.

Arrow Electronics (www.arrow.com) is a global provider of products, services and solutions to industrial and commercial users of electronic components and enterprise computing solutions. Arrow serves as a supply channel partner for more than 125,000 original equipment manufacturers, contract manufacturers and commercial customers through a global network of more than 465 locations in 90 countries.

Semtech Corporation (Nasdaq:SMTC), a supplier of high performance analog and mixed-signal semiconductors and advanced algorithms, today announced Chris Chang has joined the Company as Senior Vice President, Corporate Marketing and Business Development. In this newly-created role, he is leading corporate marketing, driving strategic growth initiatives and overseeing China operations.

Prior to joining Semtech, Mr. Chang was Chief Executive Officer at Alien Technology Corporation, a global leader in RFID technology. As CEO, he successfully executed a turnaround in the company’s financial performance, drove double digit revenue growth and profitability while simultaneously achieving historical sales records by accelerating expansion in the U.S., China, and Europe markets. Mr. Chang has also held key executive positions in sales and finance functions at other prominent high technology corporations including Marvell, AMD, Silicon Graphics, and Eastman Kodak.

“With Chris’ extensive expertise in marketing and business development, he will drive Semtech’s growth as we develop new products and establish new markets,” said Mohan Maheswaran, Semtech’s President and CEO. “Chris shares our values and our focus on innovation, and has shown to be an extraordinary leader throughout his career.”

“Joining Semtech is an honor and I look forward to working with our global team to further grow and expand our markets,” said Chris Chang, Senior Vice President, Corporate Marketing and Business Development at Semtech. “I have always admired Semtech’s outstanding business achievements and superior corporate culture and will use my industry expertise to help contribute to the Company’s continued success and market leadership.”

GLOBALFOUNDRIES and STMicroelectronics (NYSE: STM) today announced that ST has selected GF’s 22nm FD-SOI (22FDX) technology platform to support its next-generation of processor solutions for industrial and consumer applications.

After deploying the industry’s first 28nm FD-SOI technology platform, ST is extending its commitment and roadmap by adopting GF’s production-ready 22FDX process and ecosystem to deliver second-generation FD-SOI solutions for the intelligent systems of tomorrow.

“FD-SOI is ideally suited for cost-sensitive applications requiring significant processing and connectivity capabilities at lower power consumption,” said Joël Hartmann, Executive Vice President, Digital Front-End Manufacturing and Technology, STMicroelectronics. “The cost-effective performance and best-in-class energy efficiency benefits of GF’s 22FDX platform, coupled with ST’s extensive design experience and IP base in FD-SOI, will enable our customers with unparalleled value for power, performance and cost. We are relying on GF’s Dresden site for manufacturing products using this technology.”

“ST has established a strong track record with FD-SOI technology,” said Alain Mutricy, senior vice president of product management at GF. “The addition of GF’s 22FDX platform, coupled with ST’s long history of pioneering new technologies and products, will enable the two companies to deliver differentiated FD-SOI products at the 22nm node.”

As a complementary path to FinFETs, GF’s versatile FDX platform offers the ability to integrate digital, analog, and RF functions onto a single chip, which allows customers to design intelligent and fully-integrated system solutions. The technology is uniquely suited for chips that require performance on demand and energy efficiency at the lowest solution cost, making it ideal for a broad range of applications, from intelligent clients and wireless connectivity to artificial intelligence and smart vehicles.

Semtech Corporation (Nasdaq:SMTC), a supplier of high performance analog and mixed-signal semiconductors and advanced algorithms, announced its next generation LoRa devices and wireless radio frequency (RF) technology (LoRa Technology) chipsets enabling innovative LPWAN use cases for consumers with its advanced technology. Addressing the need for cost-effective and reliable sensor-to-cloud connectivity in any type of RF environment, the new features and capabilities will significantly improve the performance and capability of Internet of Things (IoT) sensor applications that demand ultra-low power, small form factor and long range wireless connectivity with a shortened product development cycle.

The next generation LoRa radios extends Semtech’s link budget by 20% with a 50% reduction in receiver current (4.5 mA) and a high power +22 dBm option. This extends battery life of LoRa-based sensors up to 30%, which reduces the frequency of battery replacement. The extended connectivity range, with the ability to reach deep indoor and outdoor sensor locations, will create new markets as different types of verticals integrate LoRa Technology in their IoT applications including healthcare and pharmaceuticals, media and advertising, logistics/shipping, and asset tracking.

In addition, the new platform has a command interface that simplifies radio configuration and shortens the development cycle, needing only 10 lines of code to transmit or receive a packet, which will allow users to focus on applications. The small footprint, 45% less than the current generation, is highly configurable to meet different application requirements utilizing the global LoRaWAN open standard. The chipsets also supports FSK modulation to allow compatibility with legacy protocols that are migrating to the LoRaWAN™ open protocol for all the performance benefits LoRa Technology provides.

“LPWAN IoT applications are going through a massive transformation, shifting from trials to large deployments in smart cities, buildings, healthcare, logistics, and agriculture,” said Marc Pegulu, Vice President and General Manager for Semtech’s Wireless and Sensing Products Group. “LoRa Technology enables an infinite amount of IoT use cases as Semtech pushes for the last mile of connectivity and reinforces its position as the defacto platform for LPWAN.”