Category Archives: Wafer Level Packaging

Fire, rain, and M&A 


January 19, 2017

By SEMI staff

The expert panel, “The Future of M&A in the Semiconductor Industry,” was a hot topic at SEMI’s Industry Strategy Symposium (ISS) conference on January 11.  So hot, it seems, that midway through the panel discussion, a fire alarm triggered and the whole group stepped outside for a quick breather.  Fortunately, this came at a break in the almost nonstop rain – that felt as though the Ritz Carlton might wash off the bluffs of Half Moon Bay.

fire rain

The rain couldn’t put a damper on the mood, though.  Forecasters throughout the conference revised upwards their 2016 results and 2017 forecasts (http://www.semi.org/en/semi-iss-2017-uncovers-new-growth-forecast-upgrades-1) and Diane Bryant, EVP and GM of Intel’s Data Center Group sparked the audience with an amazing keynote that made clear this is the best time ever to be in the semiconductor manufacturing supply chain.

But, how that industry might look in the future was the business of the M&A panel moderated by Robert Maire of Semiconductor Advisors with experts:

  • Patrick Ho, senior research analyst, Semiconductor Capital Equipment at Stifel Nicolaus
  • John Ippolito, VP Corporate Development at MKS Instruments
  • Israel Niv, former CEO of DCG Systems
  • Tom St. Dennis, chairman of the Board of FormFactor.

Will the huge deals of 2015 and 2016 continue?

Setting up the panel, Maire observed that 2015 and 2016 were huge in transaction size (over $100 billion announced in 2015), but while the values of the deals have jumped, the number of deals has remained fairly consistent over the past several years. Also, China has more significantly moved into the M&A market in 2015, in the range $4 to $5 billion.

It appears that M&A will continue, but not at the same pace as 2015 and 2016 due to increasing political, regulatory, and industry pushback.  In the equipment space, while big deals such as Advantest and Verigy were possible in 2011, the current climate has seen big deals falter including Applied Materials and Tokyo Electron; Lam Research and KLA-Tencor; and Aixtron and Fujian Grand Chip.

However, Maire observed that the motivations for M&A continue; for instance, Intel needs to offset a declining PC market and ramp IoT, VR, and Cloud activity and will likely consider M&A as part of its approach.  Similarly, opportunities for equipment companies to increase scale and size exist for process control companies and in the back-end segment where further consolidation appears necessary.

China becomes a player

China’s ambitions in M&A may have been complicated by recent events, but with a $150 billion investment fund there are likely more opportunities ahead.  China has stated the intent to move from producing just 10 percent of its IC consumption to 70 percent in ten years and catching up technologically by 2030.  While some see concerns given China’s investment and later pricing collapses in FPD, PV, and LED, others see China’s efforts to increase its indigenous production of ICs as similar to what has happened as the industry spread from U.S. and Europe to Japan, Taiwan, and Korea.

The panel responded to questions from Maire, questions submitted from the audience, and live audience questions.  Ho noted that big deals in semiconductor equipment appear, for the time being, to be difficult or over.  However, there is still low-hanging fruit and smaller deals.  There is a need to focus on scale and size because customers (IC manufacturers) are bigger and fewer.  For example, Form Factor’s combination with Cascade brought size and scale and enabled Form Factor to be more competitive.

The future for semiconductor equipment consolidation

Several questions revolved around where M&A would happen in the semiconductor equipment space.  There was general consensus that M&A of any of the “big five” (not named, but likely ASML, Applied Materials, Lam Research, Tokyo Electron, and KLA-Tencor) were off the table in the short term due to both regulatory pressure and industry pushback given fears of overly strong supplier power.  Niv thought there were opportunities for consolidation in the metrology and process control space.  Ippolito thought there might be further consolidation opportunities in motion control.  St. Dennis thought there were opportunities throughout the whole supply chain.  He pointed out that the benefits of acquiring a good company were significant, including great talent (difficult and time consuming to develop organically), synergies in not just SG&A, but in technology and field organizations.

The role of private equity was raised.  Ippolito noted that the private market and private equity have roles to play in consolidation opportunities, noting the success of Atlas Copco with Edwards Vacuum and Oerlikon Leybold as an example.

Several questions focused on China.  Niv pointed out the industry needs to think about China similar to how they thought about Japan when Japan was emerging as an IC manufacturing power.  Partnering with Japanese companies was an effective strategy for many and brought long-term success in that market.  Ippolito thought that very large China deals might be off the table for a while, but smaller deals would likely go through.  He noted that $150 billion (the China investment fund) is a lot of money and that tends to find a way forward.

Size matters

The panel seemed to agree size matters.  Niv observed that deals have to be the right size to be digestible with a deal of 10 percent size ratios being easier than other ratios.  Niv noted that one cannot realistically aspire to be acquired by Applied Materials at a revenue of only $20 to $30 million.  For this size, he advised that you are better off getting there by first being an aggregator.  Ho expanded on this by noting that small cap equipment companies can’t attract the attention of the “big five.”  $200 million of revenue only gives the “big five” about a penny of accretion.  For MKS Instruments, the deal with Newport was positive because it added almost $1 in accretion and is an example of a better match in size.

It was a testament to the keen interest in the M&A panel that after the fire alarm evacuation, virtually everyone returned and the audience was nearly immediately again fully engaged in trying to understand what stamp M&A will next leave upon future of the industry.  If we learned anything in 2016, it is that surprises will happen (so it seems, fire alarms will ring when you least expect them).  And, predicting rain, like predicting which deals will go through in a fundamentally new geopolitical environment, will be a guessing game.  However, there’s no doubt that M&A will continue and the opportunities ahead of us will rewrite our industry map.

For information on SEMI, visit www.semi.org and follow SEMI on LinkedIn and Twitter. For the SEMI event calendar, visit www.semi.org/en/events.

Mentor Graphics Corporation (NASDAQ: MENT) today announced that company chairman and CEO Dr. Walden C. Rhines has been named a Fellow of the Institute of Electrical and Electronics Engineers (IEEE). Dr. Rhines is being recognized for leadership and technology innovation in integrated circuit design and automation.

The IEEE Grade of Fellow is conferred by the IEEE Board of Directors upon a person with an outstanding record of accomplishments in any of the IEEE fields of interest. The total number selected in any one year cannot exceed one-tenth of one percent of the total voting membership. IEEE Fellow is the highest grade of membership and is recognized by the technical community as a prestigious honor and an important career achievement.

During Dr. Rhines’ tenure at Mentor Graphics, revenue has nearly quadrupled, enterprise value increased by 8X and Mentor has grown the industry’s number one market share solutions in four of the ten largest product segments of the electronic design automation (EDA) industry. At Mentor Graphics, he has built leading industry positions in areas outside of traditional EDA, including system design, embedded software, automotive and hardware emulation. This has led to innovation and growth of the entire EDA industry.

Prior to joining Mentor Graphics, Rhines was executive vice president of Texas Instruments (TI) Semiconductor Group, sharing responsibility for TI’s Components Sector, and having direct responsibility for the entire semiconductor business with more than $5 billion of revenue and over 30,000 people.

During his 21 years at TI, Rhines managed TI’s thrust into digital signal processing and supervised that business from inception with the TMS 320 family of DSPs through growth to become the cornerstone of TI’s semiconductor technology. He also supervised the development of the first TI speech synthesis devices (used in “Speak & Spell”) and is co-inventor of the GaN blue-violet light emitting diode (now important for DVD players and low energy lighting). He was president of TI’s Data Systems Group and held numerous other semiconductor executive management positions.

Dr. Rhines received the 2015 Phil Kaufman Award for Distinguished Contributions to EDA, presented by the Electronic System Design Alliance (ESDA), formerly the Electronic Design Automation Consortium, and the IEEE Council on EDA (CEDA). The award honors individuals who have had demonstrable impact on the field of EDA through technology innovations, education/mentoring, or business or industry leadership. Dr. Rhines was recognized for growing the EDA and integrated circuit (IC) design industries through his efforts as a leading voice of EDA and for pioneering the evolution of IC design to system-on-chip (SoC) design.

Rhines has served five terms as ESDA chairman and is currently serving as a director. He is also a board member of the Semiconductor Research Corporation. He has previously served as chairman of the Semiconductor Technical Advisory Committee of the Department of Commerce and as a board member of the Computer and Business Equipment Manufacturers’ Association (CBEMA), SEMI-Sematech/SISA, University of Michigan National Advisory Council, Lewis and Clark College and SEMATECH.

Dr. Rhines holds a Bachelor of Science degree in metallurgical engineering from the University of Michigan, a Master of Science and Ph.D. in materials science and engineering from Stanford University, a master of business administration from Southern Methodist University and Honorary Doctor of Technology degrees from the University of Florida and Nottingham Trent University.

This week, Future Market Insights (FMI) releases its latest report on the semiconductor assembly and testing services market. The global market for semiconductor assembly and testing services (SATS) will continue to be primarily driven by the surging demand for high-end packaging solutions. The global semiconductor assembly and testing services market will possibly reach a value of US$ 24.72 Bn by 2016 end. The market will gain continued traction communication vertical. Asia Pacific will remain the most attractive market for semiconductor assembly and testing services.

Increased demand for outsourced SATS or OSAT services will be a remarkable trend favoring the growth of the global SATS market. With the rapidly thriving consumer electronics industry, the demand for connectivity and mobility is also on the rise, which is foreseen to be an important booster to the demand for connected devices, eventually fostering the semiconductor assembly and testing services market. Rising adoption of multimedia technology devices is identified to be another factor bolstering the demand for SATS. A number of SATS providers offer value added services, such as in-house testing and high-end packaging, which will remain an important driver to the market growth. Several integrated design manufacturers are increasingly prioritising semiconductor assembly and testing services as a time-efficient alternative.

Moreover, rising demand for automotive safety systems is expected to be a strong factor providing impetus to the SATS market. Due to higher costs associated with larger wafer fabrication factory, manufacturers are largely inclined toward outsourcing semiconductor assembly and testing services to third party providers. Leading fabless companies will continue to outsource everything, including testing, assembly, and packaging of semiconductor. This will favour the market growth. Rising adoption of automotive electronics and promising emergence of next-generation electronic vehicles are likely to boost the market growth further.

However, high capital costs related to high-end packaging solution provision, volatility of prices in the market, and uncertainty in exchange rates will continue to pose a negative impact on the global SATS market growth.

By service, assembly and packaging segment will continue to be dominant over the testing segment, prominently driven by the rising demand for consumer electronics and advanced packaging solutions.

On the basis of packaging solution, the copper wire and gold wire bonding segment is expected to retain the leading segment position with over 53% market value share, accounting for the revenues of around US$ 13.24 Bn in 2016. However, the growth of this segment is likely to witness sluggish growth post-2016. The flip chip segment is foreseen to exhibit a robust growth rate, contributing around 18% share to the entire market revenues in 2016. This segment will witness an impressive Y-o-Y growth of 8.6% in 2017 over 2016.

Based on application, communication segment is projected to remain dominant, whereas consumer electronics application segment is likely to register a stellar growth rate in terms of Y-o-Y.

By regional analysis, the global semiconductor assembly and testing services market is segmented into four key markets viz. North AmericaEuropeAsia Pacific, and Middle East and Africa. APAC will remain the dominant market with over 84% market value share in 2016 but is anticipated to witness a consistent Y-o-Y decline post-2016. On the other side, North America is likely to see a consistent gain in the Y-o-Y growth post-2016. This region will account for over 31% share of the market in 2016, in terms of revenues.

Some of the key companies operating in the global marketplace for semiconductor assembly and testing services (SATS), include Amkor Technologies Inc., ASE Group, Silicon Precision Industries Co. Ltd., STATS ChipPAC Ltd. (JCET), Psi Technologies Inc. (IMI), Powertech Technology Inc., Global Foundries, CORWIL Technology corporation, and Chipbond Technology Corporation.

Long-term Outlook: By 2021 end, the global semiconductor assembly and testing services (SATS) market is expected to account for US$ 39.05 Bn in terms of revenues.

The pure-play foundry market is forecast to play an increasingly stronger role in the worldwide IC market during the next five years, according to IC Insights’ new 2017 McClean Report, which becomes available later this month.  The 20th anniversary edition of The McClean Report forecasts that the 2016-2021 pure-play IC foundry market will increase by a compound annual growth rate (CAGR) of 7.6%; growing from $50.0 billion in 2016 to $72.1 billion in 2021.

IC foundries have two main customers—fabless IC companies (e.g., Qualcomm, Nvidia, Xilinx, AMD, etc.) and IDMs (e.g., ON, ST, TI, Toshiba, etc.).  The success of fabless IC companies as well as the movement to more outsourcing by existing IDMs has fueled strong growth in IC foundry sales since 1998.  Moreover, an increasing number of mid-size companies are ditching their fabs in favor of the fabless business model.  A few examples include Fujitsu, IDT, LSI Corp. (now part of Avago), Avago (now Broadcom Ltd.), and AMD, which have all become fabless IC suppliers over the past few years.

Figure 1 shows the ranking of the top 10 pure-play foundries in 2016.  In 2016, the “Big 4” pure-play foundries (i.e., TSMC, GlobalFoundries, UMC, and SMIC) held an imposing 85% share of the total worldwide pure-play IC foundry market.  As shown, TSMC held a 59% marketshare in 2016, the same as in 2015, and its sales increased by $2.9 billion last year, more than double the $1.4 billion increase it logged in 2015.  GlobalFoundries, UMC, and SMIC’s combined share was 26% in 2016, the same as in 2015.

The three top-10 pure-play foundry companies that displayed the highest growth rates in 2016 were X Fab (54%), which specializes in analog, mixed-signal, and high-voltage devices and acquired pure-play foundry Altis in 3Q16 to move into the top 10 for the first time, China-based SMIC (31%), and analog and mixed-signal specialist foundry TowerJazz (30%).  In contrast to X-Fab’s 2016 growth spurt, TowerJazz and SMIC have been on a very strong growth curve over the past few years.  TowerJazz went from $505 million in sales in 2013 to $1,249 million in 2016 (a 35% CAGR) while SMIC more than doubled its revenue from 2011 ($1,220 million) to 2016 ($2,921 million) and registered a 19% CAGR over this five-year period.

Seven of the top 10 pure-play foundries listed in Figure 1 are based in the Asia-Pacific region.  Europe-headquartered specialty foundry X-Fab, Israel-based TowerJazz, and U.S.-headquartered GlobalFoundries are the only non-Asia-Pacific companies in the top 10 group.

Figure 1

Figure 1

Further trends and analysis relating to the IC market are covered in the 400-plus page 2017 edition of The McClean Report.

ON Semiconductor (Nasdaq: ON) has announced a collaboration with Hexius Semiconductor to qualify several of their analog intellectual property (IP) blocks in its popular ONC18 0.18 µm CMOS process. The eight initial designs resulting from this collaboration include a variety of analog-to-digital converters, digital-to-analog converters, voltage references and current references. There is provision, if needed, for the designs to be custom-tailored to match particular application demands. Further data converter and PLL designs are currently being developed for introduction later this year.

ON Semiconductor’s ONC18 process relies on a 0.18 micrometer (µm) CMOS architecture and due to its high voltage capabilities is extremely well suited to automotive, industrial, military and medical deployment. By having access to an expansive portfolio of qualified IP that supports this process, customers will be able to benefit from ASIC implementations that are highly optimized for their specific requirements, without needing to allocate too much of their own engineering resources to the task. As a result, much quicker design cycles, reduced risk of re-spins and lower associated costs can all be realized.

“The mixed signal ASIC market continues to grow as systems need to utilize the real-word data that is captured by sensors and user interface,” states Rocke Acree, Director of the Custom Foundry business unit at ON Semiconductor. “OEMs are looking to integrate more effective proprietary designs, rather than relying on standard off-the-shelf components. Through this, performance levels can be enhanced, board space saved and unit costs significantly lowered. By working together, ON Semiconductor and Hexius Semiconductor are delivering qualified analog IP needed to facilitate this migration and enabling a new era of mixed signal design.”

“Through the combination of the respective skill sets that our two companies possess, we are in a position to supply the industry with qualified analog IP macrocells on superior semiconductor processes that will deliver clear performance and logistical advantages. This will allow OEMs to respond more quickly to market opportunities that they have identified by taking products from the concept phase right through to full commercial production in the shortest possible time,” adds Chris Cavanagh, CEO at Hexius Semiconductor.

Online paper submissions are now open for the 2017 Symposia on VLSI Technology and Circuits, to be held at the Rihga Royal Hotel in Kyoto, Japan from June 5 – 8, 2017. In a departure from previous years, both Symposia (VLSI Technology and VLSI Circuits) will be held on a fully overlapping schedule from June 6 – 8, preceded by Short Courses on June 5.

The deadline for paper submissions to both Symposia is January 23, 2017. Complete details for paper submission can be found online at: http://vlsisymposium.org/authors.html

For the past 30 years, the combined annual Symposia on VLSI Technology and Circuits have provided an opportunity for the world’s top device technologists, circuit and system designers to engage in an open exchange of leading edge ideas at the world’s premier mid-year conference for microelectronics technology. Held together since 1987, the Symposia on VLSI Technology and Circuits have alternated each year between sites in the US and Japan, enabling attendees to learn about new directions in the development of VLSI technology & circuit design through the industry’s leading research and development presentations.

The comprehensive technical programs at the two Symposia are augmented with short courses, invited speakers and several evening panel sessions. Since 2012, the Symposia have presented joint focus sessions that include invited and contributed papers on topics of mutual interest to both technology and circuit attendees. A single registration enables participants to attend both Symposia.

Papers sought for “big integration”
This year’s Symposia theme is “Harmonious Integration Toward Next Dimensions.” Authors are encouraged to submit papers that showcase innovations that extend beyond single ICs and into the module level, with co-optimization of device technology and circuit/system design, including focus areas in the Internet of Things (IoT), industrial electronics, ‘big data’ management, artificial intelligence (AI), biomedical applications, virtual reality (VR) / augmented reality (AR), robotics and smart cars. These topics will be featured in focus sessions as part of the program.

The Symposium on VLSI Technology seeks technical innovation and advances in all aspects of IC technology, as well as the emerging IoT (Internet of Things) field, including:

  • IoT systems & technologies, including ultra-low power, heterogeneous integration, wearable devices, sensors, connectivity, power management, digital/analog, microcontrollers and application processors
  • Stand-alone & embedded memories, including technology & reliability for DRAM, SRAM, (3D-)NAND, MRAM, PCRAM, ReRAM and emerging memory technologies
  • CMOS Technology, microprocessors & SoCs, including scaling, VLSI manufacturing concepts and yield optimization
  • RF / analog / digital technologies for mixed-signal SoC, RF front end; analog, mixed-signal I/O, high voltage, imaging, MEMS, integrated sensors
  • Process & material technologies, including advanced transistor process and architecture, modeling and reliability; alternate channel; advanced lithography, high-density patterning; SOI and III-V technologies, photonics, local interconnects and Cu/optical interconnect scaling
  • Packaging technologies & System-in-Package (SiP), including through-silicon vias (TSVs), power & thermal management, inter-chip communication, 3D-system integration, as well as yield & test issues
  • Photonics Technology & ‘Beyond CMOS’ devices

The Symposium on VLSI Circuits seeks original papers showcasing technical innovations and advances in the following areas:

  • Digital circuits, processors and architectures, including circuits and techniques for standalone and embedded processors
  • Memory circuits, architectures & interfaces for volatile and non-volatile memories, including emerging memory technologies
  • Frequency generation and clock circuits for high-speed digital and mixed-signal applications
  • Analog and mixed-signal circuits, including amplifiers, filters and data converters
  • Wireline receivers & transmitters, including circuits for inter-chip and long-reach applications
  • Wireless receivers & transmitters, including circuits for WAN, LAN, PAN, BAN, inter-chip and mm-wave applications
  • Power conversion circuits, including battery management, voltage regulation, and energy harvesting
  • Imagers, displays, sensors, VLSI circuits & systems for biomedical, healthcare and wearable applications

Joint Technology & Circuits focus sessions feature invited and contributed papers highlighting innovations and advances in the following areas of joint interest:

  • IoT /ULP (Internet of Things / Ultra Low Power) devices: Advanced CMOS processes for ULP, design enablement, design for manufacturing, process/design co-optimization, on-die monitoring of variability and reliability
  • New Computing: Artificial intelligence, ‘beyond von Neumann’ computing, machine learning, neuromorphic & in-memory / in-sensor computing
  • 2D MOSFETs / New concepts for channel & gate materials: Graphene, MoS2, α-Si / poly-Si or flexible organic materials for ‘More than Moore’ devices
  • Emerging memory technology & design: SRAM, DRAM, Flash, PCRAM, RRAM, and MRAM, Memristor, 3D Xpoint memory technologies
  • Design in scaled technologies: scaling of digital, memory, analog and mixed-signal circuits in advanced CMOS processes
  • 3D & heterogeneous integration: power and thermal management; inter-chip communications, SIP architectures and applications

Best Student Paper Award
Awards for best student paper at each Symposia are chosen based on the quality of the papers and presentations. The recipients will receive a monetary award, travel cost support and a certificate at the opening session of the 2018 Symposium. For a paper to be reviewed for this award, the author must be enrolled as a full-time student at the time of submission, must be the lead author and presenter of the paper, and must indicate on the web submission form that the paper is a student paper.

Sponsoring Organizations
The Symposium on VLSI Technology is sponsored by the IEEE Electron Devices Society and the Japan Society of Applied Physics, in cooperation with the IEEE Solid State Circuits Society.

The Symposium on VLSI Circuits is sponsored by the IEEE Solid State Circuits Society and the Japan Society of Applied Physics, in cooperation with the Institute of Electronics, Information and Communication Engineers and the IEEE Electron Devices Society.

Further Information, Registration and Official Call for Papers
Visit: http://www.vlsisymposium.org.

The Semiconductor Industry Association (SIA) today announced worldwide sales of semiconductors reached $31.0 billion for the month of November 2016, an increase of 7.4 percent compared to the November 2015 total of $28.9 billion and 2.0 percent more than the October 2016 total of 30.4 billion. November marked the market’s largest year-to-year growth since January 2015. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Global semiconductor sales continued to pick up steam in November, increasing at the highest rate in almost two years and nearly pulling even with the year-to-date total from the same point in 2015,” said John Neuffer, president and CEO, Semiconductor Industry Association. “The Chinese market continues to stand out, growing nearly 16 percent year-to-year to lead all regional markets. As 2016 draws to a close, the global semiconductor market appears likely to roughly match annual sales from 2015 and is well-positioned for a solid start to 2017.”

Month-to-month sales increased modestly across all regions: the Americas (3.3 percent), China (2.7 percent), Europe (2.5 percent), Asia Pacific/All Other (0.7 percent), and Japan (0.4 percent). Year-to-year sales increased in China (15.8 percent), Japan (8.2 percent), Asia Pacific/All Other (4.8 percent), and the Americas (3.2 percent), but fell slightly in Europe (-1.6 percent).

By Chet Lenox, David W. Price and Douglas G. Sutherland

Author’s Note: The Process Watch series explores key concepts about process control—defect inspection and metrology—for the semiconductor industry. Following the previous installments, which examined the 10 fundamental truths of process control, this new series of articles highlights additional trends in process control, including successful implementation strategies and the benefits for IC manufacturing. For this article, we are pleased to include insights from our guest author and colleague at KLA-Tencor, Chet Lenox.

In order to maximize the profitability of an IC manufacturer’s new process node or product introduction, an early and fast yield ramp is required. Key to achieving this rapid yield ramp is the ability to provide quality and actionable data to the engineers making decisions on process quality and needed improvements.

The data used to make these decisions comes in two basic forms:

  • Inline inspection and metrology results
  • End-of-line (EOL) parametric testing, product yield results and failure-analysis

Inline inspection and metrology serve as the primary source of data for process engineers, enabling quick identification of excursions and implementation of corrective actions. End-of-line results serve as a metric of any process flow’s ability to produce quality product, generating transistor parametrics, yield sub-binning and physical failure analysis (PFA) data that provide insight into process quality and root-cause mechanisms.

In general, a fab is better off financially by finding and fixing problems inline versus end-of-line1 due to the long delay between wafer processing and collection of EOL data. However, EOL results are a critical component in understanding how specific inline defects correlate to product performance and yield, particularly during early process development cycles. Therefore, the ideal yield improvement methodology relies on inline inspection and metrology for excursion monitoring and process change qualification, while EOL results are used only for the validation of yield improvement changes.

In order for this scenario to be achieved, inline data must be high quality with appropriate sampling, and a clear correlation must be established between inline results and EOL yield. One key tool that is often utilized to achieve this connection is hitback analysis. Hitback analysis is the mapping of EOL electrical failure and PFA locations to inline defect locations identified by inspection tools.

Hitback analysis comes in two basic forms. In the traditional method, EOL yield failures guide PFA, often in the form of a cross-section transmission electron microscope (TEM) confirmation of a physical defect. This physical location is then overlaid against inline defect locations for correlation to inline learning. This analysis often offers clear causality for yield failures, but is slow (dozens/week) and can be blind to defect modes that are difficult to locate or image in TEM.

The second method, which is growing in popularity, is to overlay the EOL electrical failure location directly to inline defect data (figure 1). This is largely enabled by modern logic design methods and analysis tools that allow electrical failures to be localized into “chain” locations where the failure is likely to occur. Furthermore, new technologies allow inline inspection to be guided to potential chain location failures based purely on design layout.

For example, KLA-Tencor’s broadband plasma optical patterned wafer inspection systems incorporate patented technologies (NanoPoint™, pin•point™) that leverage design data to define very tiny inspection areas focused solely on critical patterns.2,3,4 Using these design-based technologies to inspect patterns related to potential chain failures produces inspection results consisting of defects that are strongly correlated to end-of-line yield. This more direct technique allows for faster turn-around on analysis, enables higher sampling (hundreds of defects/wafer) and can provide successful causality on defect modes that are difficult to find physically at EOL.

Figure 1. Hitback analysis technique where likely die fail chain locations from EOL are overlaid with inline inspection results.

Figure 1. Hitback analysis technique where likely die fail chain locations from EOL are overlaid with inline inspection results.

To achieve successful direct hitback analysis from electrical fail chains to inline defect locations, a number of methodologies are helpful:

  • Wafers that will be used for hitback analysis should be inspected at all key process steps. This avoids “holes” in potential causality to the EOL failure
  • Geometry-based overlay algorithms should be used that combine the point-based inline defect location with area-based reporting of EOL chains
  • The overlay distance allowed to label a chain-to-defect distance a “hit” must be large enough to allow for inspection tool defect location accuracy (DLA) but small enough that the statistical probability of false-positives is low; see Figure 2
  • All defects found by the inspector should be used for analysis, not just defects that are classified by subsequent review steps
  • Electrical fail chain locations should utilize layer information as well as x/y mapping
Figure 2. The threshold used to overlay EOL electrical chains to inline defects must be optimized to avoid failures or false positives.

Figure 2. The threshold used to overlay EOL electrical chains to inline defects must be optimized to avoid failures or false positives.

When performed properly, the hitback capture rate metric (in percentage) will quantify the number of fails which “hitback” to inline defects. This metric can be used broadly as an indicator of inline inspection capability, with higher numbers indicating that inline inspection can be more confidently used in yield improvement efforts. Therefore, hitback analysis should be performed as early as possible in the development cycle and new product introduction timescale. This allows time for inline defect inspection capture rate improvement through these traditional methods:

  • Inspection tool and recipe improvement, including the use of guided inspection based on product layout
  • Lot-, wafer- and die-level sampling adjustments
  • Process step inspection location optimization

When performed regularly, hitback analysis greatly assists in improving inline inspection confidence and improves yield learning speed. Hitback capture rates increasing to more than 70 percent are not uncommon for effective inline monitoring schemes. It is worth mentioning that the slower EOL PFA Pareto generation and hitback analysis is still required even when direct EOL-to-inline is performed in order to validate the chain fails and hitback capture rate.

Yield ramp rate is often the primary factor in the profitability of a fab’s new process and new product introduction. This ramp rate is strongly influenced by the effectiveness of inline wafer inspection, allowing faster information turns and quicker decision making by process engineers. Hitback analysis is a key method for gauging the effectiveness of inline inspection and for driving inspection improvements, particularly when correlating EOL electrical chain failures to inline defect results.

References:

About the Authors:

Dr. Chet Lenox, Dr. David W. Price and Dr. Douglas Sutherland are Yield Consultant, Senior Director, and Principal Scientist, respectively, at KLA-Tencor Corp. Dr. Lenox, Dr. Price and Dr. Sutherland have worked directly with many semiconductor IC manufacturers to help them optimize their overall inspection strategy to achieve the lowest total cost. This series of articles attempts to summarize some of the universal lessons they have observed through these engagements.

From the ground-breaking research breakthroughs to the shifting supplier landscape, these are the stories the Solid State Technology audience read the most during 2016.

#1: Moore’s Law did indeed stop at 28nm

In this follow up, Zvi Or-Bach, president and CEO, MonolithIC 3D, Inc., writes: “As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.”

#2: Yield and cost challenges at 16nm and beyond

In February, KLA-Tencor’s Robert Cappel and Cathy Perry-Sullivan wrote of a new 5D solution which utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

#3: EUVL: Taking it down to 5nm

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years, SEMI’s Deb Vogler reported in May.

#4: IBM scientists achieve storage memory breakthrough

For the first time, scientists at IBM Research have demonstrated reliably storing 3 bits of data per cell using a relatively new memory technology known as phase-change memory (PCM).

#5: ams breaks ground on NY wafer fab

In April, ams AG took a step forward in its long-term strategy of increasing manufacturing capacity for its high-performance sensors and sensor solution integrated circuits (ICs), holding a groundbreaking event at the site of its new wafer fabrication plant in Utica, New York.

#6: Foundries takeover 200mm fab capacity by 2018

In January, Christian Dieseldorff of SEMI wrote that a recent Global Fab Outlook report reveals a change in the landscape for 200mm fab capacity.

#7: Equipment spending up: 19 new fabs and lines to start construction

While semiconductor fab equipment spending was off to a slow start in 2016, it was expected to gain momentum through the end of the year. For 2016, 1.5 percent growth over 2015 is expected while 13 percent growth is forecast in 2017.

#8: How finFETs ended the service contract of silicide process

Arabinda Daa, TechInsights, provided a look into how the silicide process has evolved over the years, trying to cope with the progress in scaling technology and why it could no longer be of service to finFET devices.

#9: Five suppliers to hold 41% of global semiconductor marketshare in 2016

In December, IC Insights reported that two years of busy M&A activity had boosted marketshare among top suppliers.

#10: Countdown to Node 5: Moving beyond FinFETs

A forum of industry experts at SEMICON West 2016 discussed the challenges associated with getting from node 10 — which seems set for HVM — to nodes 7 and 5.

BONUS: Most Watched Webcast of 2016: View On Demand Now

IoT Device Trends and Challenges

Presenters: Rajeev Rajan, GLOBALFOUNDRIES, and Uday Tennety, GE Digital

The age of the Internet of Things is upon us, with the expectation that tens of billions of devices will be connected to the internet by 2020. This explosion of devices will make our lives simpler, yet create an array of new challenges and opportunities in the semiconductor industry. At the sensor level, very small, inexpensive, low power devices will be gathering data and communicating with one another and the “cloud.” On the other hand, this will mean huge amounts of small, often unstructured data (such as video) will rippling through the network and the infrastructure. The need to convert that data into “information” will require a massive investment in data centers and leading edge semiconductor technology.

Also, manufacturers seek increased visibility and better insights into the performance of their equipment and assets to minimize failures and reduce downtime. They wish to both cut their costs as well as grow their profits for the organization while ensuring safety for employees, the general public and the environment.

The Industrial Internet is transforming the way people and machines interact by using data and analytics in new ways to drive efficiency gains, accelerate productivity and achieve overall operational excellence. The advent of networked machines with embedded sensors and advanced analytics tools has greatly influenced the industrial ecosystem.

Today, the Industrial Internet allows you to combine data from the equipment sensors, operational data , and analytics to deliver valuable new insights that were never before possible. The results of these powerful analytic insights can be revolutionary for your business by transforming your technological infrastructure, helping reduce unplanned downtime, improve performance and maximize profitability and efficiency.

A new approach to bump height measurements uses an interferometric technique to accurately measure bump height and PL thickness.

BY SCOTT BALAK, Rudolph Technologies, Inc., Bloomington, MN

Solder bumps are used to connect die to various package components in advanced packaging processes. Bump height and copla- narity are critical to ensuring reliable connections. A bump that is not high enough will not connect, while one that is too tall may prevent connection by neighboring bumps or even damage an electrical tester’s probing card. Measuring true bump height quickly and accurately has proven to be a challenge.

The measurement has become more challenging with the introduction of processes that eliminate the under bump metal (UBM) layer, used in conventional wafer-level chip scale packages (WLCSP) to improve the bond between the solder ball and the copper redirect pad. Wafer-level chip scale packages have been limited in chip size and ball pitch by the fragility of the solder ball-redirect connection. The intermetallic compounds (IMC) formed there are mechanically weak and subject to fracture under the thermally induced mechanical stress generated by the different expansion coefficients of the silicon die and the package substrate. In UBM-free integration (UFI), the UBM is eliminated and the solder connects directly to the redirect pad. A thick polymer protection layer (PL), usually polyimide (PI) or polybenzoxazole (PBO), helps secure the solder in place and provides stress relief between the chip and the substrate. In addition to elimi- nating the IMC as a source of failure, UFI reduces package cost and cycle time by eliminating layers, and allows a significant reduction in final package thickness. Unfortu- nately, the PL layer, which is semitransparent and varies in thickness, introduces errors in bump height measurements (FIGURE 1).

Screen Shot 2017-04-21 at 7.38.19 AM Screen Shot 2017-04-21 at 7.38.25 AM

Many technologies are available that can accurately measure bump height but are too slow to inspect the millions of bumps on a full wafer. Laser triangulation (LT) is fast enough but has difficulty accurately measuring the top surface of the protection layer (PL), which defines the bottom of the bump height measurement. LT measure- ments consistently locate that surface somewhere within the PL thickness, returning a bump height measurement that is too high. Manufacturers have worked around this problem by subtracting an offset value from the LT measurement. But the correct offset is a function of PL thickness, which can vary across the wafer and from wafer to wafer, thus limiting the accuracy and repeatability of the bump height measurement. To accommodate the deficiencies of the measurement, process engineers must lower the tolerance limit on the process, with the net result being an unnecessary yield loss as bad measurements reject good wafers (FIGURE 2). In addition, inaccurate measurements create unnecessary review work as operators revisit inaccurately measured bumps.

Screen Shot 2017-04-21 at 7.38.30 AM Screen Shot 2017-04-21 at 7.38.36 AM

Calibrated measurements

A new approach to bump height measurements uses an interferometric technique to accurately measure bump height and PL thickness at representative locations across the wafer, then calculates offsets to apply to LT measurements in a subsequent, high speed, 100% inspection (FIGURE 3).

Screen Shot 2017-04-21 at 7.38.44 AM

A visible thickness and shape sensor (VTSS) combines the principles of interferometry and reflectometry to accurately detect the top of the bump, the top of the PL and the bottom of the PL. It can also measure step heights at the edges of opaque materials underlying a transparent layer. The VTSS is capable of nanometer scale accuracy and repeatability. It is particularly strong, relative to other measurement technologies, such as chromatic confocal (CC), in its ability to measure thin films. As films become thin, the intensity peaks returned by CC measurements begin to overlap, making it difficult to distinguish top and bottom. PL films are typically in the 3-6μm range, too thin for accurate CC measurements. In contrast, the peaks returned by VTSS are sharp and easily distinguished on films of this thickness (FIGURE 4).

Screen Shot 2017-04-21 at 7.39.00 AM

Results

FIGURE 5 compares VTSS and LT measurements of bump height. The LT measurements report a bump height consistently higher than the VTSS measurements. The data for each representative bump (x-axis) is an average of ten repeat measurements. The difference between the two measurements in this set of data is nearly constant with an average offset of 2.123μm. FIGURE 6 shows the results of a repeatability study in which bump heights were measured for ~12,000 bumps from 13 dies across a wafer. The accompanying wafer map shows the locations of the sampled die. 3D height inspection was performed using 5μm spot sensors. The repeatability test was dynamic, meaning the wafer was unloaded and reloaded after each run.

Screen Shot 2017-04-21 at 7.39.08 AM

Screen Shot 2017-04-21 at 7.39.35 AM Screen Shot 2017-04-21 at 7.39.41 AM

FIGURES 7 and 8 show the results of whole wafer scans reporting the corrected LT measurements of bump height and coplanarity for all bumps on the wafer. As shown in FIGURE 9, the operator can drill down to find results for individual bumps. The pass/fail criteria limit is smaller than the offset provided by the VTSS, meaning that without the pre-measurement the majority of the bumps would be incorrectly reported as failed.

Screen Shot 2017-04-21 at 7.39.20 AM

Conclusions

Accurate bump height and coplanarity measurements are essential to minimize unnecessary yield losses of good but incorrectly measured die and to reduce time spent reviewing incorrectly flagged good die. Laser triangulation is fast enough for 100% inspection but shows consistent measurement errors. This problem is further exacerbate as bump heights shrink and the relative height ratio of the the PL film increases. VTSS measurements, though too slow for 100% measurements, can be used to accurately calibrate LT measurements. In the data presented here the VTSS data demonstrated a repeatability of approximately 0.05μm (average 3 sigma), and the LT measurements a repeatability of approximately 0.362μm (average 3 sigma). Combining the two sensor technologies provides fast, accurate measurements, eliminates unnecessary yield loss and reduces the time spent needlessly reviewing good die.

SCOTT BALAK is director, Inspection Product Management, Rudolph Technologies, Inc., Bloomington, MN