Category Archives: Wafer Level Packaging

ams AG (SIX: AMS), a provider of high performance sensors and analog ICs, a provider of high performance sensors and analog ICs, has announced its fast and cost-efficient IC prototyping service, known as Multi-Project Wafer (MPW) or shuttle run, with an updated schedule for 2017. The prototyping service, which combines several IC designs from different customers onto a single wafer, offers significant cost advantages for foundry customers as the costs for wafers and masks are shared among all shuttle participants.

ams’ best in class MPW service offers the whole range of 180nm and 0.35μm specialty processes including the recently introduced 180nm CMOS technology (“aC18”). The aC18 process supports a large number of 1.8V and 5.0V NMOS and PMOS devices (substrate based, floating, low leakage and high threshold voltage options) and fully characterised passives including various capacitors. Area-optimised high-density and low-power digital libraries with gate densities up to 152kGates/mm², updated digital and analog I/O libraries with up to 6 metal layers as well as ESD protection cells with up to 8kV HBM level complete the offering. ams’ aC18 process is ideally suited for sensor and sensor interface devices in a wide variety of applications. All 2017 MPW runs in aC18 technology will be manufactured in ams’ state of the art 200mm fabrication facility in Austria ensuring very low defect densities and high yields.

In addition to the four aC18 MPW runs, ams will also offer four MPW runs in its advanced 180nm High-Voltage CMOS (aH18) technology supporting 1.8V, 5V, 20V and 50V devices. For its 0.35μm specialty processes a total of 14 runs are offered in 2017. ams’ 0.35μm High-Voltage CMOS process family, optimised for high-voltage designs in automotive and industrial applications, supports 20V, 50V and 120V devices as well as truly voltage scalable transistors. The advanced High-Voltage CMOS process with embedded EEPROM functionality as well as the 0.35μm SiGe-BiCMOS technology S35 are fully compatible with the base CMOS process and complete ams’ MPW service portfolio.

Overall, ams will offer almost 150 MPW start dates in 2017, enabled by co-operations with worldwide partner organisations such as CMPEuropracticeFraunhofer IIS and Mosis. Customers located in APAC region may also participate via our local MPW program partners Toppan Technical Design Center Co., Ltd (TDC) and MEDs Technologies.

The complete schedule for 2017 has now been released and detailed start dates per process are available on the web atwww.ams.com/MPW.

To take advantage of the MPW service, ams’ foundry customers deliver their completed GDSII-data on specific dates and receive untested packaged samples or dies within a short lead-time of typically 8 weeks for CMOS and 12 weeks for High-Voltage CMOS, SiGe-BiCMOS and Embedded Flash processes.

All process technologies are supported by the well-known hitkit, ams’ industry benchmark process design kit based on Cadence, Mentor Graphics or Keysight ADS design environments. The hitkit comes complete with fully silicon-qualified standard cells, periphery cells and general purpose analog cells such as comparators, operational amplifiers, low power A/D and D/A converters. Custom analog and RF devices, physical verification rule sets for Assura and Calibre, as well as precisely characterised circuit simulation models enable rapid design starts of complex high performance mixed-signal ICs. In addition to standard prototype services, ams also offers advanced analog IP blocks, a memory (RAM/ROM) generation service and packaging services in ceramic or plastic.

Learn more about the comprehensive service and technology portfolio of Full Service Foundry at www.ams.com/foundry.

SEMI and Messe München today announced that SEMICON Europa will co-locate with productronica and electronica (alternating years) in Munich, Germany. For the first time, the co-located events (productronica and SEMICON Europa) will be held next year (14-17 November 2017), creating the strongest single event for electronics manufacturing in Europe, and broadening the range of attendees across the electronics supply chain.

productronica, the world’s leading trade fair for electronics development and production, and electronica, the world’s leading trade fair for electronic components, systems and applications, will now offer attendees an extended platform. With the inclusion of SEMICON Europa, which is focused on the electronics manufacturing supply chain and largely the semiconductor manufacturing, the co-located events will expand attendee opportunities to exchange ideas and promote technological progress.

Falk Senger, managing director of Messe München, says: “The co-location of these events strengthens the global orientation of electronica and productronica, in addition to reinforcing the importance of Munich as one of the epicenters of the international electronics industry.”

SEMICON Europa features the most advanced and innovative electronics manufacturing platform in Europe. Key segments include: semiconductor front-end and back-end manufacturing, MEMS/sensors, secondary equipment, advanced packaging, and applications such as the Internet of Things (IoT).

“The co-location of SEMICON Europa with productronica and electronica is an excellent fit with SEMI’s global trade association strategy to connect the breadth of the global electronics manufacturing supply chain. SEMICON Europa brings a wide range of focused programs that address Europe’s electronics manufacturing issues and opportunities,” says Denny McGuirk, president and CEO of SEMI.

Munich is a convenient central location in Europe with easy access for international visitors. The co-located events will brings tens of thousands of visitors together to connect for electronics business.

To learn more about SEMI (and SEMICON Europa) and Messe München  (and electronica and productronica), please visit the websites.

By Ji-Won Cho, SEMI Korea

SEMI Korea has hosted a SEMI member event every year for its members since 2008 to provide networking opportunities and insight on the ever-changing issues in the industry. This year, over 225 SEMI members in Korea from 132 companies ─ including the chipmakers, Samsung and Dongbu Hitek ─ participated in SEMI Members Day on October 6. Almost 70 percent of the attendees were executive level. Five speakers shared their thought-provoking perspectives: global semiconductor outlook, technology trends, flexible AMOLED technology, autonomous vehicle, and robot industry.

Soo Kyum Kim, director at IDC Korea, presented “Global Semiconductor Industry Outlook.”  Kim pointed out that global semiconductor market will decrease 2.9 percent in 2016 and recover slightly 0.6 percent in 2017 while the dedicated foundry market will face a short correction. He also forecasted that the CAGR of global semiconductor market will be 2.6 percent between 2017 and 2020. This growth will be led by non-traditional areas; automotive, industrial and smart home. He believes that IoT and Intelligent system penetration will drive both MPU and MCU in processor market.

Worldwide-MCU-Opportunity

Sei Cheol Lee, principal analyst at NH Securities, presented “Semiconductor Technology Trends.” Lee discussed how the solid state drives (SSD) and UFS markets are rapidly growing and 3D NAND stack will move from 48 to 64 layers. Lee added that increasing layers will lead to more dry etch than wet etch in processes and incease in KrF patterning, PECVD/ALD,  and test. Lee forecasted that the test market will grow to $3 billion in 2017 from only $2.2 billion in 2016 due to high-end SSD and DDR4’s bus speed enhancement.

Minsu Kang, analyst at IHS Technology, spoke about the Flexible AMOLED Industry Outlook. According to his presentation, flexible displays are mainly used for smartwatch and smartphone, but set manufacturers are also trying to apply them with foldable or rollable form-factors. Flexible AMOLED has clear advantages for flexible display technology, in terms of form-factor, size, PPI and picture quality. He pointed out that flexible AMOLED was expected to increase to over 13 percent of OLED panel shipment in 2016, and it will continue to grow rapidly because more set manufacturers are adopting the technology. Apple may try to apply it to their smartphone in 2017.

Ji-won-Korea article Photo 1

Kang highlighted that many panel manufacturers have been trying to increase flexible AMOLED capacity since 2015, but need to develop experience. He added that the curved forms of flexible display will be the mainstream until 2020, but foldable forms may be the mainstream after.  It depends on how the innovation resonates with the user experience

Seyong Kim, senior manager at Renesas Electronics Korea, presented “Technology Trends of the Autonomous Vehicle.” He said it may be fully realized between 2025 and 2030. Each country is now focusing on establishing the safety standards as like ISO 26262 to gain the initiatives.

Concerning the connected car, he mentioned the most important issue was security. Kim also added that a growing autonomous vehicle industry will need more semiconductors but the market share likely will remain under 10 percent of the entire semiconductor market.

Ji-won-Korea article Photo 2

Dongkyeong Kim, head of R&D center at Future Robot, wrapped up the day with a presentation on Artificial Intelligence (AI) and Intelligent Robots in the semiconductor industry. Kim stated that development of semiconductor technology has driven the Big Data and AI eras and it will increasingly result in strong demand for semiconductors. According to Kim, globally the robot industry has invested 1.8 billion USD and 50 percent of the amount was invested by China in 2015.

The attendees were interested in the topics and an ongoing dialogue took place during the Q&A after each presentation. In the survey, more than 92 percent of attendees responded that they were satisfied. The attendees recommended additional topics for next year’s program, including equipment and materials outlook, advanced packaging market outlook, and technology roadmap.

Jin Soo Ko, VP of Teradyne said, “SEMI Members Day was the best in terms of agenda and contents since I attended from 2007. I am very satisfied with all programs and networking opportunities provided by SEMI.

Hyun-Dae Cho, president of SEMI Korea, said, “The SEMI Korea Members Day connects our members to peers and industry executives and gives first-hand information on the trends and technology in the industry. I hope SEMI members enjoyed the opportunities through this annual event.

For information on becoming a SEMI member, visit www.semi.org/en/Membership.

Semiconductor Manufacturing International Corporation (“SMIC”; NYSE:  SMI; SEHK: 981), the largest and most advanced foundry in Mainland China, today held the groundbreaking ceremony of a new 12-inch wafer fab in Shanghai to meet SMIC Shanghai’s increasing production and development needs.

China’s Ministry of Industry and Information Technology (MIIT) and the Shanghai Government have placed a high value on and provided strong support for the new project. Guests and leaders from the IC industry and investment funds attended the ceremony. The Chairman of SMIC, Dr. Zixue Zhou, and the CEO and Executive Director of SMIC, Dr. Tzu-Yin Chiu, together laid the foundation stone for the new project.

SMIC has 8-inch and 12-inch wafer fabs in BeijingShanghaiShenzhenTianjin and Italy, and the company’s revenue has continued to hit record highs recently. SMIC booked record revenue of US $1.3245 billion in the first half of 2016 (a year-on-year increase of 25.4%). SMIC has achieved 17 consecutive quarters of profit and is close to full production capacity. Revenue is expected to maintain rapid growth of 20% annually over the next three to four years. SMIC will manage production capacity and arrange facility expansions based on customer and market demand.

The Chairman of SMIC, Dr. Zixue Zhou, said: “The start of our new 12-inch wafer fab in SMIC Shanghai will not only help to meet our growing customer demand for advanced production, but also further strengthen and expand SMIC itself.”

Thin Film Electronics ASA (Thinfilm) today announced that it has leased a former Qualcomm-owned manufacturing facility in Silicon Valley and will relocate its current US headquarters and NFC Innovation Center in the first quarter of 2017.

The new location will house Thinfilm’s new high-volume roll-to-roll manufacturing line. Roll-based production will increase Thinfilm’s front-end production capacity to five billion NFC OpenSense and NFC SpeedTap tags per year – the equivalent of up to $680 million in annual revenue. Thinfilm intends to begin ordering line-related equipment immediately.

In the near term, the facility upgrade enables Thinfilm to scale existing sheet-based manufacturing of its NFC (Near Field Communication), EAS (Electronic Article Surveillance), and Sensor Label products. Roll-to-roll production is expected to be operational for EAS by year-end 2017 and for transistor-based products in 2018.

The building, located at 2581 Junction Avenue in San Jose, California, was formerly an operational display fab run by Qualcomm MEMS Technologies, Inc., and was in production until the Spring of 2016. More than $80 million has been invested previously in the 93,000 square-foot facility, which sits on 5.4 acres and features a 22,000+ square foot, Class 10-10,000 cleanroom.

“We’re very excited about the new facility and the role we see it playing in scaling Thinfilm’s manufacturing capabilities, particularly for SpeedTap and OpenSense. Given the growing market demand for NFC smart packaging, it was vital that we secure a facility that enables us to ramp capacity to ultra-high volumes through roll-to-roll production,” said Davor Sutija, Thinfilm’s CEO. “Thinfilm’s NFC smart labels allow brands to address authentication and anti-tampering needs while empowering them to engage with consumers through the simple tap of an Android smartphone. As the digital marketing arena becomes more fragmented, brands see NFC as a way to eliminate intermediaries and connect directly with their customers.”

Thinfilm will immediately start working on tenant improvements within the office-space portions of the facility, and will begin equipment installation by year-end. Occupancy of the new facility is expected in March 2017.

“Advanced technology, infrastructure-rich buildings such as 2581 Junction Avenue come on the market very infrequently. It really is an excellent property,” said Brad Howe, co-CEO of Lowe Enterprises Investors. Lowe Enterprises Investors and its joint venture partner, Vista Investment Group, purchased the property and subsequently leased it to Thinfilm. “The property appears to be an ideal fit for Thinfilm’s operational and strategic needs, and should serve them well for many years to come.”

Thin Film Electronics ASA is a publicly listed Norwegian company with headquarters in Oslo, Norway; product development and production in Linköping, Sweden; product development, production, and business development in San Jose, California, USA; and sales offices in the United States, Hong Kong, and Singapore.

KLA-Tencor Corporation (NASDAQ:  KLAC) and Lam Research Corp. (NASDAQ:  LRCX) today announced that they have agreed to terminate their proposed merger agreement. The parties decided to it was not in the best interests of their respective stakeholders to continue pursuing the merger after the U.S. Department of Justice advised KLA-Tencor and Lam Research that it would not continue with a consent decree that the parties had been negotiating. No termination fees will be payable by either the Company or Lam Research in connection with the termination of the Merger Agreement.

“Although we are disappointed with this outcome, KLA-Tencor’s performance over the past several quarters demonstrates the Company is executing our strategies at a high level and creating compelling value for the industry and for our stockholders,” commented Rick Wallace, President and Chief Executive Officer of KLA-Tencor.

“Today our customer engagement and market leadership is strong and KLA-Tencor is delivering superior financial results. Growth and earnings momentum is expected to continue as we go forward, fueled by new products in the marketplace today, and with many more products in the pipeline,” continued Mr. Wallace. “Additionally, our collaboration over the past year with Lam Research and with our customers has affirmed the value of closer cooperation between process and process control for new, enabling solutions. For that reason, we plan to explore collaboration opportunities with Lam Research around programs identified as beneficial to our customers.”

After the initial announcement of the proposed merger, which was expected to close mid-year 2016, analysts voiced concern over whether the deal would be approved. Robert Maire of Semiconductor Advisors wrote: “We think this is going to be the obvious biggest issue after the failed AMAT & TEL merger.  We think there will likely be opposition in the semi industry but probably less so than we heard the screaming related to AMAT/TEL.”

Today, SEMI announced an exceptional lineup of keynotes at SEMICON Japan’s “SuperTHEATER” focusing on innovation and insights into the future of the electronics supply chain. SEMICON Japan 2016, the largest exhibition in Japan for electronics manufacturing, will take place at Tokyo Big Sight in Tokyo on December 14-16. Registration for the exhibition and programs is now open.

Japan’s semiconductor fab equipment capital expenditure (front-end facilities, both new and used including discretes and LED) is forecast to increase 12 percent (to US$5.0 billion) in 2017, according to the August SEMI World Fab Forecast report.

On December 14, keynotes will focus on the future:

  • Semiconductor Executive Forum – “The Creation of New Business Opportunities” keynotes:
    • Toshiba: Yasuo Naruke, corporate senior executive VP, on “Toshiba Storage Business Strategy; Utilizing Big Data to Win Productivity”
    • TSMC: Jack Sun, VP of R&D and CTO, on “New Frontiers of Semiconductor Innovation”
    • Murata Manufacturing: Hiroshi Iwatsubo, executive VP, on “Business Strategy and Technology Trends”
  • Opening Keynotes – “Into the Future” keynotes:
    • IBM Research:  Dario Gil, VP, Science and Solutions, on “The Cognitive Era and the New Frontiers of Information Technology”
    • University of Tsukuba: Yoichi Ochiai, media artist and assistant professor, Digital Nature Group, on “The Age of Enchantment”

The SEMI Market Forum, also on December 14, with the theme “Outlook and Growth Opportunities in the Electronics Manufacturing Supply Chain” will offer presentations from IHS Markit, VLSI Research Inc., and SEMI.

Highlights on December 15 include Industrial IoT Forum, Autonomous & Connected Car Forum, and U.S. Commercial Service IT Forum. The Technology Trend Forum on December 16 focuses on “The Tokyo 2020 Olympics: Innovation for All.” In addition, SEMICON Japan features forums on Manufacturing Innovation and IoT Innovation.

Attendees at SEMICON Japan will explore the key technologies and business models necessary to grow in the coming years. The SuperTHEATER offers nine keynote forums, all with simultaneous English-Japanese translation, with global top executives.

Platinum sponsors of SEMICON Japan include Disco Corporation, Screen Semiconductor Solutions Co., Ltd. and Tokyo Electron Limited. Gold sponsors include: Advantest Corporation, Applied Materials, Inc., ASE Group, Daihen Corporation, Ebara Corporation, Fasford Technology Co., Ltd., Hitachi High-Technologies Corporation, JSR Corporation, Lam Research Corporation, Nikon Corporation, Tokyo Seimitsu Co., Ltd. and VAT Ltd.

For more information and to register for SEMICON Japan, visit www.semiconjapan.org/en/

TSMC’s UBM-free fan-in WLCSP


September 21, 2016

BY DR. PHIL GARROU, Contributing Editor

At the 2016 ECTC Conference, TSMC discussed their UFI (UBM-Free Integration) Fan-In WLCSP technology which they claim enables large die fine pitch packages.

Development of low-cost WLCSP for large die with high I/O count is desired for broadening its applications. Reliability issues including solder cracking and high chip warpage are known to be the main challenges for extending the die size of conventional WLCSP to more than 5×5 mm2 with ball pitch smaller than 350 μm.

TSMC has discovered that by controlling the maximum strain location and optimizing materials, chip warpage and the stress between silicon and the PCB can be reduced which improves both component and board-level reliabilities of WLCSP packages. Packages as large as 10.3×10.3 mm2 with both 400 and 350 μm ball pitches have been developed.

Screen Shot 2017-04-21 at 9.21.34 AM

UBM is used as an interfacial layer between the metal pad of the integrated circuit and the solder ball. The formation of UBM/ solder intermetallic compounds (IMC) limits the board level reliability of the package due to the poor mechanical robustness of IMCs. When the die size is increased, stress increases which promotes cracking at the UBM/solder ball interface.

TSMC claims their UFI WLCSP fabrication cost is lower than conventional WLCSPs due to the elimination of the UBM. Removal of the UBM also reduces the thickness of the package by 30%. Figure 1 compares the structures of a standard WLCSP vs the TSMC UFI WLCSP. In the UFI WLCSP, the solder balls are directly mounted to the Cu RDL followed by the polymeric PL (protection layer which secure the balls.

Very similar removal of UBM and subsequent thickening of the copper pad has been reported before by Amkor in 2010 [1].

TSMC simulation results showed the solder joint fatigue life decreases with increasing die sizes for both UFI and the conventional WLCSP. Predicted solder ball fatigue life was found to increases with decreasing die thickness. The authors suggest that decreasing the die thickness not only reduces the thermal expansion difference between the die and the PCB, but also causes the die to bend more under thermal loading. In addition, simulation results imply that solder joint creep strain for solder mask defined (SMD) structures is 72% higher than for non-solder mask defined (NSMD) structures because of its reduced flexible solder joint height and the constraint of the solder mask. Thus they concluded that it is better to use NSMD type of PCB for UFI WLCSP. The use of NSMD structures to increase reliability has been known since the work of Bell Labs Ejim [2].

The UFI WLCSP passes all component-level tests and exhibited board-level thermal cycle life that is 1.4 and 2.3 times longer than that of the conventional WLCSP in terms of the first failure and the Weibull distribution, respectively. 10mm UFI WLCSP have passed component-level reliability tests such as TCB1000, uHAST96 and HTS1000, and board- level reliability tests of TCG500 and drop tests.
To demonstrate the possibility of higher interconnect density, they fabricated UFI- WLCSP with multiple RDL layers. The package with two RDL layers had die size of 10.3 x 10.3 mm2 and ball pitch of 350 μm (Figure 2). Again such structures passed all component level reliability testing.

References

1. http://imapsource.org/doi/abs/10.4071/2010DPC- tha32?journalCode=apap
2. TI Ejim et. al., “Reliability performance and failure mode of high I/O thermally enhanced ball grid array packages” Electronics Manufacturing
Technology Symposium, 1998, p.323 – 332.

Solid State Technology announced today that its premier semiconductor manufacturing conference and networking event, The ConFab, will be held at the iconic Hotel del Coronado in San Diego on May 14-17, 2017. A 30% increase in attendance in 2016 with a similar uplift expected in 2017, makes the venue an ideal meeting location as The ConFab continues to expand.

    

For more than 12 years, The ConFab, an invitation-only executive conference, has been the destination for key industry influencers and decision-makers to connect and collaborate on critical issues.

“The semiconductor industry is maturing, yet opportunities abound,” said Pete Singer, Editor-in-Chief of Solid State Technology and Conference Chair of The ConFab. “The Internet of Things (IoT) is exploding, which will result in a demand for “things” such as sensors and actuators, as well as cloud computing. 5G is also coming and will be the key technology for access to the cloud.”

The ConFab is the best place to seek a deeper understanding on these and other important issues, offering a unique blend of market insights, technology forecasts and strategic assessments of the challenges and opportunities facing semiconductor manufacturers. “In changing times, it’s critical for people to get together in a relaxed setting, learn what’s new, connect with old friends, make new acquaintances and find new business opportunities,” Singer added.

Dave Mount

David Mount

Solid State Technology is also pleased to announce the addition of David J. Mount to The ConFab team as marketing and business development manager. Mount has a rich history in the semiconductor manufacturing equipment business and will be instrumental in guiding continued growth, and expanding into new high growth areas.

Mainstream semiconductor technology will remain the central focus of The ConFab, and the conference will be expanded with additional speakers, panelists, and VIP attendees that will participate from other fast growing and emerging areas. These include biomedical, automotive, IoT, MEMS, LEDs, displays, thin film batteries, photonics and advanced packaging. From both the device maker and the equipment supplier perspective, The ConFab 2017 is a must-attend networking conference for business leaders.

The ConFab conference program is guided by a stellar Advisory Board, with high level representatives from GLOBALFOUNDRIES, Texas Instruments, TSMC, Cisco, Samsung, Intel, Lam Research, KLA-Tencor, ASE, NVIDIA, the Fab Owners Association and elsewhere.

Details on the invitation-only conference are at: www.theconfab.com. For sponsorship inquiries, contact Kerry Hoffman at [email protected]. For details on attending as a guest or qualifying as a VIP, contact Sally Bixby at [email protected].

By Ted Shafer, Business Manager, Mature Product Sales, ASML

Ted Shafer of ASML reports on the highlights from the ≤200mm manufacturing session during SEMICON West, organized by the SEMI Secondary Equipment and Applications Special Interest Group. Your next opportunity to catch up on latest trends on ≤200mm manufacturing trends and its impact on the secondary equipment and applications market is SEMICON Europa 2016 and the Secondary Equipment Tech Arena session

Wednesday July 13th at SEMICON West a seminar and panel discussion were held to discuss the longevity and growth of the 200mm equipment market, and responses from IDMs, OEMs and 3rd parties to the challenges this growth presents.

Tim Tobin of Entrepix was the first speaker.  Entrepix is a premier 3rd party refurbisher of CMP and other process equipment.  Tim was the first to remark on a phenomenon that the other speakers and panelists also noted: a huge portion of the die in the devices we use daily do not require state of the art 300mm manufacturing.  For example, 60% – 80% of the chips in your smartphone or tablet are manufactured on 200mm – or smaller – wafers.  These wafers are created using mature equipment, which is frequently purchased from the secondary market, often from refurbishers such as Entrepix.

SEMI’s Christian Dieseldorff next provided a great overview of 200mm market trends, titled “200mm Fab: Trends, Status, and Forecast”.  Driven by the growth of IoT (Internet of Things), new 200mm fabs are being built and additional capacity is being added at existing fabs.  Key take-away is that after peaking in 2006, then declining for several years, 200mm wafer starts per month are now forecasted to exceed 2006’s level of 5.4M by 2019.  The question on everyone’s mind is, once that level is exceeded, where will the tools come from to manufacture those wafers?

200mm-image1

Pierric Gueguen of Yole spoke of the increased adoption of exotic substrates like GaN, Sapphire and Silicon Carbide.  These substrates provide many performance advantages, such as lower power consumption, faster switching speed, and high temperature resistance.  Yet the substrates cannot scale to 12”, and sometimes not to 8”.  So the increased adoption of these substrates is driving additional demand for 150mm/200mm tools.

As a counter-point to the 200mm discussions, Karen Erz of Texas Instruments gave a very well-received presentation on TI’s pivot to 300mm for analog, which has traditionally been manufactured on 200mm wafers.  A key to TI’s success is to embrace without fear buying opportunities for used equipment when they present themselves.  TI does not compete at the leading edge – their minimum feature size is 130nm – and thus mature, pre-owned, cost-effective equipment is always their first choice.  In fact, surplus 300mm is often more available, and less expensive, than comparable 200mm tools.  TI capitalized on the bankruptcies of the 300mm fabs of Qimonda Dresden, Qimonda Richmond, and PROMOS, also surplus tools at Powerchip, to scoop up large batches of inexpensive 300mm tools.  They continue to buy surplus 300mm tools when they come on the market, even in advance of actually requiring the tools.  As a result, 92% of RFAB’s analog production is done with pre-owned 300mm equipment.

Emerald Greig of Surplus Global, in addition to organizing the seminar, also provided a well-researched presentation on surplus equipment trends, titled “The Indispensable Secondary Market”.  Surplus Global is one of the largest surplus equipment traders, and they track the used equipment market very closely.  Emerald discussed how the supply of tools per year is trending dramatically downwards.  In 2009 they saw 6,000 tools come on the market, and that run-rate has steadily decreased to the point where by last year it was under 1,000/year.  This year we are at just 600.

200mm-image2

AMAT’s John Cummings provided the first OEM perspective on the 200mm market.  John showed how over 70% of the chips in the segments of automotive, wearables and mobile are produced on <=200mm wafers.  These segments are growing – for example a BMW i3 contains an astonishing 545 total die, and 484 of them are manufactured on <=200mm wafers.   AMAT reports that there are not enough used 200mm tools on the market to support the demand, and thus AMAT supplies their customers with new 200mm tools to augment the upgrades and refurbs they perform on pre-owned tools.  AMAT also provides new functionality for their mature 200mm products, increasing their usefulness and extending their lifetime.

Finally there was the OEM panel discussion, consisting of Kevin Chasey of TEL, David Sachse of LAM, Hans Peters from Ebara, and Ted Shafer of ASML.  Emerald Greig of Surplus Global provided some initial questions and solicited additional ones from the audience.   The OEMs echoed one common theme of the presentations, that 200mm demand is robust, and core tools are increasingly hard to find.  TEL additionally noted that China is a growing player in this market, and that OEMs must now support their 200mm product lines much longer than initially planned.  LAM said that 200mm core supply is so tight that the prices are rising above even comparable 300mm cores.  In response, LAM augments the supply of used tools by creating new 200mm tools.  Ebara added that the core tools coming on the market are often undesirable first-generation tools or tools in very bad condition.  On the other hand, this creates a role for the OEM, who has the expertise to make these tools production-worthy.  ASML noted that many of their larger 200mm customers are considering a migration from the PAS 5500 platform to ASML’s TWINSCAN platform for 200mm production.  Although developed for 300mm, and in general larger and more expensive than the 200mm 5500 series, ASML has spent the last 15 years making TWINSCANs increasingly productive and reliable, to the point where they often offer superior cost of ownership at 200mm than ASML’s 5500 platform.  Furthermore, customers buying TWINSCAN for 200mm production have an easy upgrade to 300mm when/if their plans call for it.

200mm-image3

In summary, the seminar showcased a robust exchange of ideas, where the presenters and panelists examined the resurgent 200mm market, and described many solutions to the common challenge of limited and expensive 200mm cores.

Attend SEMICON Europa and the Secondary Equipment & Applications session on October 26 to find out the latest trends and discuss in what areas OEMs, IDMs and secondary  market operators can cooperate more closely to improve sustainable access to legacy manufacturing equipment.

Find out more about SEMI’s Secondary Equipment and Applications Special Interest Group and the Secondary Equipment Legacy Management Program that is currently under development. For more information and to get involved, contact [email protected] (Ms. Rania Georgoutsakou, Director Public Policy for Europe, SEMI).