Category Archives: Wafer Level Packaging

Applied Materials, Inc. and the Institute of Microelectronics (IME), a research institute under the Agency for Science, Technology and Research (A*STAR), today announced a five-year extension of their research collaboration at the Centre of Excellence in Advanced Packaging in Singapore. The organizations will expand the scope of their R&D collaboration to focus on advancing Fan-Out Wafer-Level Packaging (FOWLP), a key technology inflection expected to help make chips and end-user devices smaller, faster and more power efficient.

With an anticipated additional S$188 million of combined investment, the Centre will expand to a second location at Fusionopolis 2, in addition to the existing facility at Singapore’s Science Park II. The two facilities combined will span an area of approximately 1,700 square meters and be staffed by a team of close to 100 researchers, scientists and engineers. The Centre was built to develop new capabilities in advanced packaging through a full line of Applied Materials’ Wafer-Level Packaging (WLP) processing equipment, and has successfully delivered advancements in semiconductor hardware, process and device structures.

“Our collaboration with A*STAR over the past five years has been instrumental in establishing Applied Materials’ presence in Singapore and building up our R&D capabilities,” said Russell Tham, Regional President, Applied Materials South East Asia. “With the entire R&D value stream from ideation to product development being carried out locally via this joint lab, the expansion will further Applied Materials’ development of new technologies and products for global markets, while remaining a key contributor to Singapore’s innovation economy.”

Dr. Raj. Thampuran, Managing Director, A*STAR, said, “Our relationship with Applied Materials transcends a new milestone with the extension of our collaboration in R&D into new areas. The progress we have made from our initial collaboration is a testament to the successful partnership A*STAR has with Applied Materials. As we look towards the future, we remain committed to advancing innovations in the semiconductor industry and being at the forefront of leading edge ideas in this rapidly evolving technological landscape.”

The Internet of Things (IoT) and Big Data are driving forces in today’s market of interconnected and multi-functional electronic devices. FOWLP is considered a key technology platform for system scaling, enabling multiple chips to be integrated in a small form factor on a single package. With FOWLP capable of providing significant benefits for the mobile and wireless markets, increased investment in the sector could help propel Singapore’s standing as a global hub for semiconductor R&D. Through a successful alliance with its private sector partners across the value chain, A*STAR has contributed to Singapore’s vibrant research, innovation and enterprise ecosystem. In 2014, A*STAR and 10 other industry partners launched four Advanced Semiconductor Joint Labs to provide an integrated platform for complex microchip manufacturing R&D. These global partnerships together with the Applied Materials – A*STAR joint R&D Centre will continue to strengthen Singapore’s capabilities in semiconductor R&D and contribute to the creation of high-value jobs and competitiveness of the industry.

The Singapore Centre conducts WLP research across Applied Materials for its global customers. The Centre undertakes complex multi-disciplinary research to develop new innovations in advanced packaging including bump, TSV, 2.5D interposers and now FOWLP. Through its work at the Centre, Applied Materials has developed technology that has been successfully implemented in several of its semiconductor equipment products. In addition, the extension of the collaboration highlights the important role a successful public-private partnership plays in creating value and building up differentiated competencies for Singapore.

“Applied Materials’ leading expertise in materials engineering drives the development of highly differentiated products and solutions that make new technologies possible,” said Dr. Prabu Raja, Group Vice President and General Manager of the Patterning and Packaging Group, Applied Materials. “We are excited to expand our collaboration with A*STAR and leverage our complementary strengths to solve challenges in advanced packaging and build new capabilities for future innovations.”

A*STAR takes a long-term vision towards strategic investments in industry-ready R&D that contribute to Singapore’s economic growth. It is home to one of the premier advanced packaging and wafer-level packaging research facilities in Asia. IME’s leading research capabilities in advanced chip packaging are focused on meeting the challenging requirements in complex and sophisticated chip packaging, in order to develop slimmer devices with greater system capabilities such as ultra-low power consumption, increased memory and bandwidth, and diverse functionality.

Dr. Tan Yong Tsong, Executive Director, IME, said, “Our long standing collaboration with Applied Materials demonstrates the value of public-private partnership under open innovation, and underscores the readiness and competitiveness of IME’s research capabilities for the industry. Through this joint lab, we will continue to push the envelope through our differentiated R&D competencies to deliver breakthrough technologies.”

By Zvi Or-Bach, President & CEO, MonolithIC 3D Inc.

As we have predicted two and a half years back, the industry is bifurcating, and just a few products pursue scaling to 7nm while the majority of designs stay on 28nm or older nodes.

Our March 2014 blog Moore’s Law has stopped at 28nm has recently been re-confirmed. At the time we wrote: “From this point on we will still be able to double the amount of transistors in a single device but not at lower cost. And, for most applications, the cost will actually go up.” This reconfirmation can be found in the following IBS cost analysis table slide, presented at the early Sept FD-SOI event in Shanghai.

Gate costs continue to rise each generation for FinFETs, IBS predicts.

Gate costs continue to rise each generation for FinFETs, IBS predicts.

As reported by EE Times – Chip Process War Heats Up, and quoting Handel Jones of IBS “28nm node is likely to be the biggest process of all through 2025”.

IBS prediction was seconded by “Samsung executive showed a foil saying it believes 28nm will have the lowest cost per transistor of any node.” The following chart was presented by Samsung at the recent SEMICON West (2016).

Zvi 2

And even Intel has given up on its “every two years” but still claims it can keep reducing transistor cost. Yet Intel’s underwhelming successes as a foundry suggests otherwise. We have discussed it in a blog titled Intel — The Litmus Test, and it was essentially repeated by SemiWiki’s Apple will NEVER use Intel Custom Foundry!

This discussion seems academic now, as the actual engineering costs of devices in advanced nodes have shown themselves to be too expensive for much of the industry. Consequently, and as predicted, the industry is bifurcating, with a few products pursuing scaling to 7nm while the majority of designs use 28nm or older nodes.

The following chart derived from TSMC quarterly earnings reports was published last week by Ed Sperling in the blog Stepping Back From Scaling:

Zvi 3

Yes, the 50-year march of Moore’s Law has ended, and the industry is now facing a new reality.

This is good news for innovation, as a diversity of choices helps support new ideas and new technologies such as 3D NAND, FDSOI, MEMS and others. These technologies will enable new markets and products such as the emerging market of IoT.

A good opportunity to learn more about these new scaling technologies is the IEEE S3S ’16, to be held in the Hyatt Regency San Francisco Airport, October 10th thru 13th, 2016. It starts with 3D and FDSOI tutorials, the emerging technologies for the IC future. CEA Leti is scheduled to give an update on their CoolCube program, Qualcomm will present some of their work on monolithic 3D, and three leading researchers from an imec, MIT, and Korea university collaboration will present their work on advanced monolithic 3D integration technologies. Many other authors will discuss their work on monolithic 3DIC and its ecosystem, in addition to tracks focused on SOI, sub-VT and dedicated sessions on IoT.

As part of an initiative to optimize service to the growing global polymer processing market, Nordson Corporation (Nasdaq:NDSN) today announced it plans to combine its existing screw and barrel operations in Youngstown, Ohio; New Castle, Pennsylvania; and Pulaski, Virginia into a single expanded manufacturing center of excellence in Austintown, Ohio.

“We expect this initiative to drive efficiencies in manufacturing processes, decrease lead times, enhance customer service, improve competitiveness and accelerate growth,” said John Keane, Nordson Corporate Senior Vice President. “Our plan is for Austintown to join similar regional hubs for our screw and barrel products in Thailand and Germany. No other single supplier will be able to provide the polymer industry with such localized service on a global scale.”

Nordson expects the transition to an existing facility in Austintown to be completed over the next 18 months, subject to the conclusion of customary negotiations with local and state officials. The transition will occur in stages to minimize any potential impact to current customers. Planned investments in the facility over the period include upgraded bi-metallic processing and machining systems to improve product quality, precision and throughout.

The majority of positions in the existing Youngstown, New Castle and Pulaski facilities will transfer to the Austintown facility. Total employment in Austintown is expected to be approximately 260. Nordson will be actively recruiting for any positions not being filled by current employees.

SEMI today announced that twenty-one start-ups have been selected to pitch to investors and exhibit their products at SEMICON Europa‘s INNOVATION VILLAGE in Grenoble, France at the Alpexpo from 25-27 October, 2016. INNOVATION VILLAGE will showcase never-before-seen technologies, with early stage companies introducing their technologies on the exposition floor.

INNOVATION VILLAGE, an area of more than 400m² on the SEMICON Europa exhibition floor, is dedicated to the launch and promotion of technological innovation.  Twenty-one leading European start-ups will be featured, including:

• 3Dis Technologies • HPROB • ProNT GmbH
• Antaios • Irlynx • Silicon Radar
• Applied Nanolayers BV • Madci • Siltectra
• Bright Red Systems Gmbh • Mi2-factory GmbH • Smart Force Technologies
• Fastree3D • Miniswys SA • Smoltek
• FlexEnable • Noivion • Solayl
• FMC – The Ferroelectric Memory Company • Pollen Metrology • Terabee

Start-ups will be given the opportunity to “pitch” their products to potential investors including Applied Ventures LLC, Samsung Ventures, TEL Venture Capital, Robert Bosch Venture Capital GmbH, 3M New Ventures, Aliad-Air Liquide Corporate Venture Capital, Capital ASTER, CEA Investment, VTT Ventures, Capital-E, Siemens Technology Accelerator GmbH and more.

For the first time at the INNOVATION VILLAGE, a new technology transfer program, called the TechnoMarket, from partner Linksium, SATT Grenoble Alpes will be showcased on 26 October. “The national network, SATT, has chosen SEMICON Europa to promote the best technological projects derived from public research within France that can also benefit manufacturers. The new Techno Market event offers new opportunities for businesses,” says Gilles Talbotier, CEO, Linksium.  The TechnoMarket acts as a genuine market place for VCs and companies ready to invest in innovation.

Free admission code: Use the promotional code SCEU-TBN4U to gain free admission to the show floor (not including conferences or forums).  Register now – attend to connect.

For more information about SEMICON Europa, please visit http://www.semiconeuropa.org

SEMI announced today that the deadline for presenters to submit an abstract for the annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC) is October 17.  ASMC, which takes place May 15-18, 2017 in Saratoga Springs, New York, will feature technical presentations of more than 90+ peer-reviewed manuscripts covering critical process technologies and fab productivity. This year’s event features keynotes, a panel discussion, networking events, technical sessions on advanced semiconductor manufacturing, as well as educational tutorials.

ASMC, in its 28th year, continues to fill a critical need in our industry and provides a venue for industry professionals to network, learn and share knowledge on new and best-method semiconductor manufacturing practices and concepts.  Selected speakers have the opportunity to present in front of IC manufacturers, equipment manufacturers, materials suppliers, chief technology officers, operations managers, process engineers, product managers and academia. In addition to publication in the ASMC proceedings, select papers will be invited to participate in a special section of ASMC 2017 to be featured in IEEE Transactions on Semiconductor ManufacturingTechnical abstracts are due October 17, 2016. 

This year SEMI (www.semi.org) is including two new technology areas (3D/TSV/Interposer; Fabless Experience). SEMI is soliciting technical abstracts in these key technology areas:

  • Packaging and Through Silicon Via (3D/TSV)
  • Fabless Experience (FE)
  • Advanced Equipment Processes and Materials (AEPM)
  • Advanced Metrology
  • Advanced Patterning / Design for Manufacturability (AP/DFM)
  • Advanced Process Control (APC)
  • Contamination Free Manufacturing (CFM)
  • Defect Inspection and Reduction (DI)
  • Data Management and Data Mining Tools (DM)
  • Discrete Power Devices (DP)
  • Equipment Reliability and Productivity Enhancements (ER)
  • Enabling Technologies and Innovative Devices (ET/ID)
  • Factory Automation (FA)
  • Green Factory (GF)
  • Industrial Engineering (IE)
  • Lean Manufacturing (LM)
  • MOL and Junction Interfaces (MJ)
  • Smart Manufacturing (SM)
  • Yield Methodologies (YM)

Complete descriptions of each topic and author kit can be accessed at http://www.semi.org/en/node/38316.  If you would like to learn more about the conference and the selection process, please contact Margaret Kindling at [email protected] or call 1.202.393.5552.

Papers co-authored between device manufacturers, equipment or materials suppliers, and/or academic institutions that demonstrate innovative, practical solutions for advancing semiconductor manufacturing are highly encouraged.  To submit an abstract, click here.

Technical abstracts are due October 17, 2016.  To learn more about the SEMI Advanced Semiconductor Manufacturing Conference, visit http://www.semi.org/en/asmc2017.

2016 is a turning point for the Fan-Out market since both leaders, Apple and TSMC, changed the game and may create a trend of acceptance of Fan-Out packages. Yole Développement (Yole) is analyzing the current market and technologies trends and offers you to discover these results within a new report entitled Fan-Out: Technologies & Market Trends 2016.

fowlp_history_yole_aug2016_280x433

TSMC investment in FO WLP and development of InFO changed the WLP landscape. Following high volume adoption of InFO and further development of eWLB technology, a wave of new players and FO WLP technologies may enter the market. TSMC’s FO WLP solution called InFO will be used to package the Apple A10 application processor, implemented in the new iPhone 7 series. The success of FO packaging platforms is so undeniable today. What will be the status of the market tomorrow? What are the next steps of the leading FO players? Which technology will be the winning solutions? Yole’s analysts tell the story.

“Production starts in 2016 and represents a big change in the Fan-Out industry for several reasons”, confirms Jérôme Azémar, Market & Technology Analyst, Advanced Packaging & Manufacturing at Yole. And he explains:

  • First of all, in terms of volume, capturing the Apple processor market is a big asset for Fan-Out technology. iPhone 7 phones are expected to be sold in more than 200 million units.
  • In terms of technology capability it is also a major turn: processors require thousands of connections while the FO market was essentially focused on limited IO count applications so far.
  • Eventually, the potential for market spread is very high: the Apple brand brings more interest to the FO platform.

According to Yole’s advanced packaging & semiconductor manufacturing team, the market will actually be split in two types:

  • The “core” market of FO, including single die applications such as Baseband, Power management, RF transceivers, etc. This is the main pool for FO WLP solutions and will keep growing.
  • The “high-density” FO market, started by Apple APE that will include larger IO count applications such as processors, memories, etc. This market is more uncertain and will require new integration solutions and high performing FO packages but has a very high potential.

Apart from TSMC, STATS ChipPAC is willing to make further investments powered by JCET, ASE extends its partnership with Deca Technologies while Amkor, SPIL and Powertech are in development phase eyeing future production. Samsung is seemingly lagging behind and is considering its options to raise competitiveness. “With such a high potential for the high-density FO and solid growth of the core FO, the supply chain is also expected to evolve with a considerable amount of investment in Fan-Out packaging capabilities,” said Jérôme Azemar from Yole. Several players are already offering FO WLP while many others are developing their competitive Fan-Out platforms to enter the Fan-Out landscape and enlarge their portfolio.

What are the next steps of the leading Fan-Out players? Yole’s FO report analyzes in detail the strategies and offers of main players involved. It describes potential success scenarios for all of them. It also helps to define what FO Packaging is and what are the different products and platforms, player per player.

IC Insights released its August Update to the 2016 McClean Report earlier this month.  This Update included an update of the semiconductor industry capital spending forecast, a look at the top-25 semiconductor suppliers for 1H16, including a forecast for the full year ranking, and Part 1 of an extensive analysis of the IC foundry industry (the ranking of the top-10 pure-play foundries is covered in this research bulletin).

In 2014, the pure-play IC foundry market registered a strong 17% increase, the largest increase since 2010 and eight points greater than the 9% increase in the worldwide IC market.  In 2015, the pure-play foundry market showed a 6% increase, about one-third the rate of growth in the previous year, but seven points higher than the total IC market growth rate of -1%.  For 2016, the pure-play foundry market is expected to increase by 9% and greatly outperform the growth rate of total IC market, which is forecast to drop by 2% this year.

Figure 1 shows that the top 10 pure-play foundries are expected to hold 95% of the total pure-play foundry market this year.  This year, the “Big 4” pure-play foundries (i.e., TSMC, GlobalFoundries, UMC, and SMIC) are forecast to hold an imposing 84% share of the total worldwide pure-play IC foundry market.  As shown, TSMC is expected to hold a 58% marketshare in 2016, down one point from 2015, as its sales are forecast to increase by $2.1 billion this year, up from a $1.5 billion increase in 2015.  GlobalFoundries, UMC, and SMIC’s combined share is expected to be 26% this year, the same as in 2015.

The two top-10 pure-play foundry companies that are forecast to display the highest growth rates this year are Israel-based TowerJazz, which is expected to edge-out Powerchip for the 5th spot in the pure-play foundry ranking in 2016, and China-based SMIC, with 30% and 27% sales increases, respectively. TowerJazz and SMIC have been on a very strong growth curve over the past few years.  TowerJazz is expected to grow from $505 million in sales in 2013 to $1,245 million in 2016 (a 35% CAGR) while SMIC is forecast to more than double its revenue from 2011 ($1,220 million) to 2016 ($2,850 million) and register a 19% CAGR over this five-year timeperiod.

Figure 1

Figure 1

Eight of the top-10 pure-play foundries listed in Figure 1 are based in the Asia-Pacific region.  Israel-based TowerJazz, and U.S.-headquartered GlobalFoundries are the only non-Asia-Pacific companies in the top-10 group.  While LFoundry is currently headquartered in Avezzano, Italy, China-based SMIC agreed in 2Q16 to purchase 70% of the company for approximately $55 million.  Since LFoundry has an installed capacity of 40K 200mm wafers/month, the acquisition of a controlling interest in the company essentially serves to immediately expand SMIC’s capacity by 13% this year.

Although SMIC is forecast to register strong sales growth of 27% this year, Chinese foundries, in total, are expected to hold only 8.2% of the pure-play foundry market in 2016, down 5.1 points from the peak share of 13.3% reached in 2006 and 2007.  IC Insights believes that the total Chinese company share of the pure-play foundry market will increase through 2020, as the China-based foundries take advantage of the huge amount of government and private investment that will be flowing into the Chinese semiconductor market infrastructure over the next five years.

ARM and Intel Custom Foundry this week at the Intel Developer Forum in San Francisco an agreement to accelerate the development and implementation of ARM SoCs on Intel’s 10nm process. In their joint press releases, Intel and ARM said that the agreement will enable Intel Custom Foundry to use its upcoming 10nm FinFET platform for fabricating chip designs based on ARM’s Artisan Physical IP.

“The initial POP IP will be for two future advanced ARM Cortex-A processor cores designed for mobile computing applications in either ARM big.LITTLE or stand-alone configurations,” according to ARM’s press release. Intel’s release says that LG will be using the process to “produce a world-class mobile platform based on Intel Custom Foundry’s 10nm design platform.”

The Intel-ARM partnership could provide new foundry options for chipmakers like Qualcomm — and potentially Apple — beyond current industry bigwigs Samsung and Taiwan Semiconductor Manufacturing Co. (TSMC).

Chips based on Intel’s 10nm process are expected at some point in 2017.

SEMI announced today that over 43,000 visitors are expected to attend SEMICON Taiwan September 7-9 at the TWTC Nangang Exhibition Hall in Taipei. Over 550 exhibitors, 16 themed pavilions, and more than 20 international forums are being readied to connect attendees with companies, people, products, and information forming the future of advanced electronics, including a major focus on advanced packaging.

Douglas Yu, senior director of Integrated Interconnect and Packaging Technology at TSMC, recently announced that TSMC needs to transition – from the world’s leading IC foundry – to the industry’s first System in Package (SiP) foundry (SEMICON West; July 2016).  Yu stated, “We are a wafer foundry, but we are doing some packaging business to survive and grow . . . Moore’s law is becoming more challenging, so we are preparing for those days.”  Sources say that TSMC’s chip packaging changes have led to improvements of 20 percent in both speed and packaging thickness and 10 percent in thermal performance.

SEMICON Taiwan is an exceptional event to learn about the latest advances in packaging. On September 7, the SEMI Advanced Packaging Technology Symposium‘s theme is “Fan Out Solutions – Cost-effective FO Solutions, 3D/SiP FO Solutions, and Fine Patterning.” Industry experts from a wide range of companies will present, including: Amkor, APIC Yamada, ASE, ASM, IEK, Kulicke & Soffa, Lam Research, Protec, Senju, SPTS, SUSS MicroTec Photonic Systems, and Ueno SEIKI.

On September 8, SEMICON Taiwan’s SiP Global Summit begins with a 2.5/3D-IC Technology Forum with presentations from TSMC, Amkor, ANSYS, ASE Group, EVG, Fraunhofer IZM, Hitachi Chemical, IBM, IMEC, NMC, and SPIL.  On September 9, the SiP Summit features an Embedded and Wafer Level Package Technology Forum, with moderators from ASM Pacific Technology, ITRI, and SPIL.

Beyond packaging, many other innovation areas such as Smart Manufacturing, Semiconductor Materials and Executive Summit –Grand Opening Keynote session which always draws the most attention will be presented in technical and business programs, as well as on the show floor at the TechXPOTs, including:

  • High-Tech Facility TechXPOT: AccuDevice, Forbo Flooring, Hantech Engineering, Lumax International, Organo Technology, Particle Measuring, Rockwell Automation, Supenergy, Techgo Industrial, Trusval Technology, VIVOTEK, Wholetech Systems Hitech, and many more
  • Materials TechXPOT: AI Technology, Atotech Taiwan, CohPros International, CSI Chemical, Nippon Pulse Motor Trading (Taiwan), Tatsuta Electric Wire & Cable, and Uniwave Enterprise
  • New Product Launch TechXPOT: AblePrint Technology, Chemleader, Creating Nano Technologies, EVG-Jointech, First Elite Enterprise, SEIPI, Sigmatek, Sil-More Industrial, and YXLON/Teltec Semiconductor Pacific
  • Smart Manufacturing TechXPOT: Balluff Taiwan, Cimetrix, Dah Hsing Electric, and Gallant Precision Machining

For more information and registration for SEMICON Taiwan, please visit: www.semicontaiwan.org/en

New wafer processing technologies overcome FOWLP’s technical hurdles, paving the way for a new generation of ultra compact, high I/O electronic devices.

BY DAVID BUTLER, SPTS Technologies, an Orbotech company, Hereford, UK

Our ability to create ever-smaller electronic devices that maintain or surpass the performance of their physically larger predecessors – exemplified by today’s wearables, smartphones and tablets – is dictated by many factors that extend well beyond Moore’s Law, from the underlying embedded components to the ways in which they’re packaged together. With regard to the latter, fan-out wafer level packaging (FOWLP) is quickly emerging as the new die and wafer level packaging technique of choice, and is widely antici- pated to underpin the next generation of compact, high performance electronic devices.

Whereas with conventional flip-chip WLP schemes the I/O terminals are spread over the chip surface area, limiting the number of I/O connections, FOWLP embeds individual die in an epoxy mold compound (EMC) with space allocated between each die for additional I/O connection points, avoiding the use of more expensive silicon real estate to accommodate a higher I/O count. Redistribution layers (RDLs) are formed using physical vapor deposition (PVD) and subsequent electroplating and patterning to re-route I/O connections on the die to the mold compound regions on the periphery (FIGURE 1).

FIGURE 1. FOWLP process flow.

FIGURE 1. FOWLP process flow.

Leveraging FOWLP, semiconductor devices with thousands of I/O points can be seamlessly connected via finely-spaced lines as thin as two to five microns, maximizing interconnect density while enabling high bandwidth data transfer. Significant height and cost savings are achieved via the elimination of the substrate.

With FOWLP today we have the ability to embed heterogeneous devices including baseband processors, RF transceivers and power management ICs in these mold wafers, thereby enabling the latest gener- ation of ultra-thin wearables and mobile wireless devices. With continued line and space reductions, FOWLP has the potential to accommodate higher performing devices including memory and application processors, positioning FOWLP to extend into new markets including automotive and medical applications and beyond.

Leading vendors implementing FOWLP today include Amkor, ASE, Freescale, NANIUM, STATS ChipPAC, and TSMC, with TSMC being the most high-profile vendor given its widely-reported contract win to produce A10 processors for Apple’s iPhone 7 – a deal said to be attrib- utable in part to TSMC’s mature FOWLP-based InFO technology.

According to a report entitled “FO WLP Forecast update 09/2015” published by research firm Yole Développement in September 2015, the launch of TSMC’s InFO format is expected to increase industry packaging revenues for FOWLP from $240M in 2015 to $2.4B in 2020. With a projected 54% CAGR, Yole expects FOWLP to be the fastest growing advanced packaging technology in the semiconductor industry.

Low heat, high speed processing

All fan-out wafers feature singulated die embedded in the EMC, with spin-on dielectrics surrounding the RDL. These materials present some unique challenges, including moisture absorption, excessive outgassing and a limited tolerance to elevated temperatures. If not dealt with properly, contamination at the metal deposition stage can compromise contact resistance.

Whereas conventional circuits built on silicon can withstand heat up to 400oC and can be degassed in under one minute, the EMC and dielectrics used in FOWLP have a heat tolerance closer to 120oC. Temperatures exceeding this low threshold can cause decompo- sition and excessive wafer warping. Degassing wafers at such low temperatures naturally takes a longer amount of time, and can drastically reduce the throughput of a conventional sputter system.

Multi-wafer degas (MWD) technology has emerged as a compelling solution to this problem, enabling up to 75 wafers to be degassed at 120oC in parallel before being individually transferred to subsequent pre-clean and sputter deposition, without breaking vacuum.

With this approach, wafers are dynamically pumped under clean, high vacuum conditions, with radiation heat transfer warming wafers directly to temperatures within the operating budget for packaging applications.

Each wafer can spend up to 30 minutes inside the MWD, but because they’re processed in parallel, a “dry” wafer is outputted for metal deposition every 60 to 90 seconds, at a rate of between 30 to 50 wafers per hour. This approach increases PVD system throughput by 2-3 times compared to a single wafer degas processing technology, and as materials emerge with even lower thermal budgets based on increased passivation thickness, longer degas times can be accommodated with no impact on throughput (FIGURE 2).

FIGURE 2. The Sigma fxP PVD system with multi-wafer degas module from Orbotech-SPTS.

FIGURE 2. The Sigma fxP PVD system with multi-wafer degas module from Orbotech-SPTS.

These benefits are not readily attainable, however, unless we can overcome the attendant warping challenges. Epoxy mold wafers can be warped after curing, and the size and shape of the warpage hinge on the different shapes, densities and placement of the embedded die. A FOWLP PVD system must therefore be able to minimize temperature-induced shape shifting, and accommodate wafers with up to a 10mm bow. The acceptable industry threshold for bowing is probably lower than 6mm, however, as it’s not easy to make uniformly thick conductors on a substrate exhibiting 6mm+ warpage.

Utmost integrity

After successful degas, but prior to metal deposition, the FO wafer is pre-cleaned in a plasma etch module. This facilitates the removal of trace oxide layers from the contacts, but due to the composition of the organic dielectric surrounding the contacts, will result in carbon build-upon the chamberwalls.This carbon does not adhere well to ceramic chamber surfaces, and if not carefully managed, can result in early particle failure.

New in-situ paste technologies allow these carbon deposits to better adhere to chamber surfaces during the pre-cleaning process, enabling preventative maintenance intervals that exceed 6,000 wafers. This approach can significantly improve productivity by reducing the frequency of dedicated wafer pastes, which typically require production to be paused every 10 to 20 wafers for chamber pasting when using conventional techniques.

The myriad benefits that FOWLP promises for the production of ultra compact, high I/O electronic devices far outweigh the aforementioned technical barriers to mainstream FOWLP adoption. With the ability to overcome the degassing, warping, and integrity challenges that can impede FOWLP implementations, electronics manufacturers can unlock the full potential of FOWLP while eliminating frictions affecting production speeds and yields.