Category Archives: Wafer Level Packaging

Texas Instruments Incorporated (TI) announced that Devan Iyer has been elected vice president of the company. As vice president of worldwide semiconductor packaging, Iyer leads a global team responsible for determining the semiconductor packaging design and technologies that help customers differentiate their products and enable further cost-effective advancements in miniaturization and performance.

“Devan’s technical expertise and commitment to innovation are vital to TI’s growth as we deliver the next wave of advanced packaging solutions to meet customers’ needs,” said Kevin Ritchie, senior vice president of Technology and Manufacturing Group.

Iyer joined TI in 2008 in TI’s Technology and Manufacturing Group as a manager of semiconductor packaging. He most recently served as director of worldwide semiconductor packaging and has more than 22 years of semiconductor industry and R&D experience.

Iyer earned a bachelor’s degree in applied electronics from the University of Kerala in India, as well as a master’s degree in microelectronics from the Indian Institute of Technology Kharagpur in India and a doctoral degree in microelectronics from the Loughborough University of Technology in the United Kingdom.

Paul Lindner, executive technology director at EV Group, is the recipient of the 2015 European SEMI Award.  Since 1989, the European SEMI Award has been presented to the person or team that made significant contributions to the European semiconductor and related industries.  This award, an industry honor for Lindner, was presented at the SEMI Industry Strategy Symposium Europe 2016 conference held in Nice on 6–8 March.

Paul Lindner was nominated and selected by his peers within the international semiconductor community in recognition of his outstanding contributions in the field of wafer processing equipment.  Lindner led exceptional innovations in wafer bonding technologies at EV Group (EVG).  The process separation between wafer alignment and wafer bonding, developed in 1990, revolutionized wafer bonding technology and has since become an industry standard.  Lindner changed the way the industry builds semiconductors.  Lindner exemplifies EVG’s ongoing effort of “being the first” in exploring new techniques and serving next-generation applications of micro- and nano-fabrication technologies.

“We are very proud of SEMI Member EVG’s achievements in wafer bonding technologies and the contributions that Paul Lindner and his team have made to the semiconductor community,” says SEMI Europe president Laith Altimime.

Lindner heads the R&D, product and project management, quality management, business development and process technology departments at EVG Group. He joined EVG in 1988 as a mechanical design engineer and has since pioneered semiconductor and MEMS processing systems, which have set industry standards.

The European SEMI Award was established more than two decades ago to recognize individuals and teams who have made a significant contribution to the European semiconductor and related industries. Prior award recipients hailed from these companies: Infineon, Semilab, Deutsche Solar, STMicroelectronics, IMEC, Fraunhofer Institute, and more.

By Ira Feldman, general chair, BiTS 

What’s the single area that is being most disrupted by emergent technologies like the Internet of Things (or the Internet of Vehicles) and Silicon Photonics? We think it’s packaging. The cost and size constraints of these pervasive devices is driving ever more “shrink” – and innovation in the area of packaging – in order to deliver their benefits to every aspect of our daily lives. From fancy pedometers that are auditing our every step to all the data centers that are required to host the big data that is being created … ICs are at the core of the transformation and the test and packaging of these devices is incrementally more challenging.

“Silicon Photonics manufacturing has evolved to the point where it is now possible to manufacture a silicon photonics die using a standard CMOS manufacturing line. But, one challenge remained unsolved: how to test these applications at wafer level in a volume production environment,” said Jose Moreira, senior staff engineer at Advantest. “Working in conjunction with Tokyo Electron Labs and STMicroelectronics, a test cell implementation for testing mixed digital and silicon photonics ICs has been devised. In our Burn-In and Test Strategies (BiTS) Workshop presentation, we will review a solution for a high volume test cell for an OSAT environment.”

bits 2 bits

Now in its 17th year, BiTS offers a full technical program that spans four days including sessions on MEMS test, WLCSP test, Test Cell Integration, simulation & modeling, materials, and more. This year’s Tutorial is a practicum on the theory and statistics that underlie Adaptive Test to include test time reduction and outlier detection. The BiTS EXPO showcases the latest in test cell hardware, services, and consumables including sockets, load boards, contactors, materials, and more. BiTS has plenty of time for networking, great food, and warm weather! Attend the Burn-In and Test Strategies (BiTS) Workshop (March 6-9) in Mesa, Arizona. SEMI has arranged a special discount of $50 off registration when using the code of 50SEM.

According to IC Insights’ new 2016 edition of The McClean Report, total worldwide semiconductor industry capital spending is forecast to show low single-digit growth in 2016 after registering a 1% decline in 2015.  As discussed below, last year’s drop in semiconductor industry capital spending was a significant departure from historical patterns that go back more than 30 years.

Figure 1 shows the annual worldwide semiconductor industry capital spending changes from 1983-2015.  Over the past 33 years, there have been six periods when semiconductor industry capital spending declined by double-digits rates for one or two years (1985-1986, 1992, 1997-1998, 2001-2002, 2008-2009, and 2012-2013).  It is interesting to note that in every case except the 2012-2013 spending downturn, within two years after the period of decline in capital spending, a surge in spending of at least 45% occurred.  The second year increases in spending after the cutbacks were typically stronger than the first year after a downturn with the lone exception to this being the 2010 spending rebound after the 2008-2009 downturn.  This was because most semiconductor producers tend to act very conservatively coming out of a market slowdown and wait until they have logged about 4-6 quarters of good operating results before significantly increasing their capital spending again.

As shown in Figure 1, the streak of strong capital spending growth within two years after a spending cutback timeperiod ended in 2015, with capital spending registering a 1% decline.  IC Insights believes that this is yet another indication of a maturing semiconductor industry.

Figure 1

Figure 1

More detailed information on semiconductor industry capital spending, including 2016 capital spending forecasts by company, can be found in IC Insights’ flagship market research report, The McClean Report—A Complete Analysis and Forecast of the Integrated Circuit Industry. The new 478-page McClean Report provides IC market and technology trend forecasts from 2016 through 2020.

Fairchild Semiconductor International, Inc. announced this week that its board of directors, after consultation with its legal and financial advisors, has determined that the unsolicited proposal received on December 28, 2015, from China Resources Microelectronics Ltd and Hua Capital Management Co., Ltd.  to acquire Fairchild does not constitute a “Superior Proposal” as defined in the Company’s Agreement and Plan of Merger with ON Semiconductor Corporation.

On January 5, 2016, Fairchild announced that the Board determined that the Acquisition Proposal would reasonably be expected to result in a Superior Proposal. The Fairchild management team, along with Fairchild’s legal and financial advisors, engaged in extensive discussions with China Resources and Hua Capital. After conducting a thorough review, and after consultation with Fairchild’s legal and financial advisors, the Board concluded that the Acquisition Proposal is not superior to Fairchild’s existing agreement with ON Semiconductor.

As previously announced on November 18, 2015, Fairchild entered into an Agreement and Plan of Merger with ON Semiconductor, under which a wholly owned subsidiary of ON Semiconductor agreed to acquire all of the outstanding shares of Fairchild common stock for $20.00 per share in cash.

Fairchild remains subject to the Agreement and Plan of Merger with ON Semiconductor, and the Board has not changed its recommendation in support of that agreement.

Goldman, Sachs & Co. is acting as financial advisor to Fairchild, and Wachtell, Lipton, Rosen & Katz is serving as its legal counsel.

According to the latest market study released by Technavio, the global wafer-level manufacturing equipment market is set to post a CAGR of over 4 percent by 2020.

In its release, the company said this research report titled ‘Global Wafer-level Manufacturing Equipment Market 2016-2020’ provides an in-depth analysis of the market in terms of revenue and emerging market trends. This market research report also includes up to date analysis and forecasts for various market segments and all geographical regions.

The report also segments the global wafer-level manufacturing equipment market into three key regions, including APAC, North America, and Europe. Of these three regions, APAC has been the largest contributor to the market, accounting for close to 72 percent market share in 2015. The significant presence of semiconductor IC manufacturers in APAC is one of the major reasons for the high revenue contribution from this region. One of Technavio’s lead analysts from the semiconductor equipment sector, Asif Gani, says, “The increasing adoption of semiconductor devices in IoT applications is driving the semiconductormarket, which will create demand for wafer-level manufacturing equipment. Similarly, with the increasing demand for semiconductor ICs in both the consumer electronics and automobile segments, and also with the growing complexities of semiconductor ICs, the demand for wafer-level manufacturing equipment will see a rise during the forecast period.”

As of 2015, the foundry segment was the largest revenue contributor to the global wafer-level manufacturing equipment market and accounted for a revenue share of almost 63 percent. The primary reason for the market dominance of the foundry segment is the increasing number of fabless semiconductor manufacturers. Technavio predicts the foundry segment to achieve a revenue of over USD 27 billion by 2020, at a CAGR of more than 4 percent.

“The foundry segment is likely to progress significantly over the next five years due to increasing demand for semiconductor ICs, such as logic, analog, discrete, optic, and sensor ICs, which are used in devices such as smartphones, tablet PCs, notebooks, digital cameras, gaming consoles, set-top box, and network switches,” affirms Asif.

Memory segment anticipated to generate over USD 10 billion by 2020The memory segment is expected to generate over USD 10 billion by 2020, posting a CAGR of more than 4 percent during the forecast period. Technology traction and oversupply of DRAM by memory device manufacturers will slow down the expansion of production facilities globally in 2016. Therefore, the market share of this segment will decline in the same year. However, the market is expected to recover post 2016, due to the increasing demand for DRAM and NAND used in smartphones and tablet PCs and a high adoption of 3D NAND. This will indirectly trigger the demand for wafer-level manufacturing equipment during 2017-2020.

High adoption of the fabless business model to hinder growth of the IDM segmentThe IDM segment contributed more than USD 4 billion in 2015 to the global market and this figure is expected to increase to USD 5 billion by 2020, growing at a CAGR of over 2 percent, during the forecast period. However, the market share of this segment will decline as a result of the high adoption of the fabless business model.

EV Group (EVG), a supplier of wafer-bonding, lithography/nanoimprint lithography (NIL), metrology, photoresist coating, cleaning and inspection equipment, today announced its participation in the 3D integration consortium of IRT Nanoelec, which is headed by CEA-Leti. EVG joins Leti, STMicroelectronics and Mentor Graphics to develop advanced 3D wafer-to-wafer bonding technologies. SET also joined recently the consortium.

Based in Grenoble, France, IRT Nanoelec is an R&D center focused on information and communication technologies (ICT) using micro- and nanoelectronics. 3D integration is one of its core programs.

The 3D integration program was launched in 2012. It brings together, under a single roof, expertise and equipment addressing the entire 3D integration value chain: technology, circuit architecture, EDA tools, packaging and test. Mentor Graphics (EDA), ST (foundry) and Leti are the founding members of the consortium.

“The development of permanent bonding equipment and processes geared towards high-volume manufacturing of 3D stacked devices has been a focus area for EVG for more than 15 years. We are excited about the opportunities that result from joining forces with CEA-Leti, STMicroelectronics and Mentor Graphics to further develop and prove our solutions for advanced 3D technologies, such as 3D partitioning and advanced 3D imaging sensors,” said Markus Wimplinger, corporate technology development and IP director. “Being able to verify and further optimize bonding technologies with the most advanced product designs and in a leading-edge fab environment is critical for further progressing our technology development.”

Séverine Chéramy, director of the 3D integration program of IRT Nanoelec, said the consortium expects to achieve an interconnection pitch of about 1µm.

“The work with EVG, in the frame of IRT Nanoelec, will undoubtedly add value to the current program, because wafer-to-wafer stacking using direct Cu-to-Cu bonding is key for advanced 3D technologies, specifically for imaging application and 3D partitioning,” she said. “EVG’s knowledge on bonding will leverage the process expertise of the original members. The participation of EVG in the consortium will create new opportunities and optimized and cost-effective solutions for 3D IC devices.”

IRT Nanoelec previously announced that SET, Smart Equipment Technology, joined a consortium project to help develop advanced 3D die-to-wafer stacking technologies, using direct copper-to-copper bonding.

IRT-Nanoelec Research Technological Institute (IRT), headed by CEA-Leti, conducts research and development in the field of information and communication technologies (ICT) and, specifically, micro- and nanoelectronics. Based in Grenoble, France, IRT Nanoelec leverages the area’s proven innovation ecosystem to create the technologies that will power the nanoelectronics of tomorrow, drive new product development and inspire new applications – like the Internet of Things – for existing technologies. The R&D conducted at IRT Nanoelec provides early insight into how emerging technologies such as 3D integration and silicon photonics will affect integrated circuits.

A new 5D solution utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated.

BY ROBERT CAPPEL and CATHY PERRY-SULLIVAN, KLA-Tencor Corp., Milpitas, CA

In order to produce IC devices at sub-16nm design nodes, semiconductor manufacturers are integrating many novel technologies, including multiple patterning, spacer pitch splitting, 3D logic and memory structures, new materials and complex reticles. The challenges associated with these innovative technologies place huge cost strains on the semiconductor industry. In this environment, high yields and fast ramps play critical roles in helping semiconductor manufacturers maintain profitability.

Process control has helped IC manufacturers accelerate yield over the last 30 years, providing the inspection and metrology technologies necessary for early identification of critical process issues. As IC device design nodes shrunk over time, process control systems kept pace through the implementation of innovative technologies that enabled detection of defects and process variations that inhibited yield and reliability. For example, KLA-Tencor’s optical wafer inspection systems have evolved over the past 30 years from using a tungsten-halogen light source, off-the-shelf microscope objectives and an off-the-shelf sensor to utilizing a laser-pumped broadband light source that is brighter than the sun, optics that are as complex as those used in steppers and custom sensors that are 1,000 times faster than a digital camera. Today’s broadband plasma optical patterned wafer inspectors are now capable of detecting 10nm defects—only four times larger than the diameter of a DNA strand. Moreover, the detection of these defects across all die on a 300mm wafer is equivalent to finding hundreds of coins dispersed across an area the size of the state of California from many miles in space—in an hour.

The multiple technologies used to produce today’s leading-edge devices create challenges for process control. Inspection and metrology systems need to be able to extract signal from smaller defects and process/ pattern variations, often on complex 3D structures with high-aspect ratio features. With novel materials and increased process variability, this signal extraction needs to happen in an environment of increased background noise. In addition, with multiple patterning and more process steps, inspection and metrology tools need to provide increased productivity to enable sufficient production monitoring to detect excursions. For example, FinFETs produced using multiple patterning techniques require process control strategies that utilize advanced inspection and metrology systems that integrate design information and produce the sensitivity necessary to help address smaller critical defects, 3D structures and narrow process windows. In addition, the inspection and metrology solutions must also provide improved productivity to help cost-effectively monitor and control the increased number of process steps associated with fabricating the FinFETs using multiple patterning.

These challenges drive the innovation that produces the unique process control technologies and solutions that find design, patterning or process issues early. This capability is essential for IC manufacturers as it enables production of today’s leading-edge and future technologies with maximum yield and device performance at reduced risk and cost.

The value of process control

The inspection and metrology systems at the core of process control are not used to fabricate IC devices, as they do not add or remove materials or create patterns. However, rather than being superfluous steps in IC manufacturing, process control is critical for making high-yielding, reliable devices. By finding defects and measuring critical parameters, inspection and metrology systems monitor the hundreds of steps required to manufacture a device. These process control measurements help fab engineers identify and troubleshoot process issues when there is an excursion. Process control is fundamentally tied to yield as it would be near impossible for fabs to pinpoint process issues that affect yield without inspection and metrology.

Achieving a fast yield ramp to get products to market quickly is essential for chipmakers—any delay in yield ramp affects revenue [1] and can affect future investment in R&D and the release of next-gener- ation products. By taking steps such as implementing capable process control strategies, a fab can attain shorter development times, faster manufacturing ramps and improved production yield. In fact, the value chipmakers can attain from process control is realized in many forms, including: strong return on investment; lower manufacturing costs and risks; increased revenues; faster time to money; improved cycle times; greater profits; and, business continuity.

In order to provide deeper insight into the value of process control, the ten fundamental truths of process control (FIGURE 1) were compiled. Each of the fundamental truths has been introduced in a series of Process Watch articles [2-10], including details on the applications of these truths to semiconductor IC manufacturing. By understanding the fundamental nature of process control through these ten truths, fabs can implement strategies to identify critical defects, find excursions and reduce sources of variation.

Yield 1

Given the increasing complexity of advanced devices and process integration, one of the most critical fundamental truths that fabs must account for going forward is: Process control requirements increase with each design rule [9]. As FIGURE 2 shows, the number of process steps increases dramatically starting with the 16/14nm design node. As the number of process steps increases, all steps must be held to a higher standard for excursions, defect density and variability. If the per-step yield stays constant at the level achieved for the 28nm node, then the predicted cumulative yield will drop with each smaller design node (FIGURE 3). Because of this compounding nature of yield loss, fabs must obtain tighter controls and lower defect density at each individual process step. This drives the need for new process control strategies that not only detect yield- critical defects and subtle process variations, but also allow engineers to increase inspection and metrology sampling. Such process control capability enables direct monitoring of the increased number of process steps and quick detection of excursions that can have a tremendous impact on wafer manufacturing costs.

Yield 2

FIGURE 3. With increasing process steps, the predicted cumulative yield will drop for advanced design nodes if the per- step yield stays constant at 28nm levels.

FIGURE 3. With increasing process steps, the predicted cumulative yield will drop for advanced design nodes if the per- step yield stays constant at 28nm levels.

 

Strategy for future process control challenges

In moving to sub-16nm design nodes, semiconductor manufacturers are faced with many challenges to Moore’s Law. On the technical side, there are the complexities associated with the integration of novel technologies (e.g., multiple patterning, 3D structures, new materials, complex reticles, increased number of process steps). On the economic side, the convergence of these multiple technologies creates increased pressure on fabs to maintain control of costs. Transistor costs are related to the scaling factor, manufacturing costs and yields. With rising fab, design, development and lithography costs, the best solution semiconductor manufacturers have to achieving the cost goals of Moore’s Law is accelerating yield.

In trying to achieve faster yield ramps, IC manufacturers must confront the many issues surrounding the robustness of their design and process window. On the design side, engineers must be able to find and assess design weak points in order to drive improvements that ensure the device design and fabrication techniques are stable for production. At the sub-16nm design nodes, the required pattern overlay budgets are ≤4.5nm, critical dimension specifications are ~2nm and process windows are extremely narrow. In order to drive the changes necessary to achieve these tight patterning specifications (FIGURE 4), engineers need to understand fab-wide sources of patterning error and the impact of variations on process windows. In this environment of tackling difficult technical challenges within cost targets, process control is essential.

FIGURE 4. For advanced multi-patterning technologies, the sources of patterning errors are fab-wide—occurring both inside and outside the lithography cell. In order to meet the incredibly tight specifications for overlay and critical dimensions, engineers must look at reducing the fab-wide sources of process variation.

FIGURE 4. For advanced multi-patterning technologies, the sources of patterning errors are fab-wide—occurring both inside and outside the lithography cell. In order to meet the incredibly tight specifications for overlay and critical dimensions, engineers must look at reducing the fab-wide sources of process variation.

Developing the necessary process control solutions is challenging—requiring both tremendous innovation and close collaboration among multiple sectors within the semiconductor industry. Not only is it necessary to develop novel technologies that provide advanced inspection and metrology system performance, it is also essential to pursue innovation towards comprehensive process control solutions—strategies that tie process control systems together, so they work in concert in the fab with intelligent analysis systems handling the complex, high-volume data being generated. These process control “system of systems” can help fabs achieve faster yield ramp through quick design verification and process window discovery, expansion and control.

Two examples of process control solutions are shown in FIGURE 5. With defect discovery the goal is to detect and identify yield-critical defects that highlight design issues during development and process drift during production. The discovery system leverages design information through NanoPoint technology on the 2920 Series broadband plasma optical defect inspection systems to find critical pattern defects that affect yield the most dramatically. The Surfscan SP5 unpatterned wafer inspection system aids in preventing yield issues by detecting tiny substrate defects that can distort the subsequent films and pattern structures on advanced 3D devices, such as FinFETs and vertical NAND flash. Finally, the eDR-7110 e-beam review and classification system identifies the defects detected by the 2920 Series and Surfscan inspectors. By producing comprehensive information on critical nanoscale defects, the defect discovery solution helps fab engineers characterize, optimize and monitor their advanced processes to accelerate time-to-market.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

FIGURE 5. The future of process control involves “system of systems” solutions which tie together multiple inspection and metrology systems with intelligent data analysis. Shown are two examples of process control solutions: KLA-Tencor’s defect discovery solution on the left and KLA-Tencor’s 5D patterning control solution on the right.

The goal of the 5D patterning control solution [11, 12] is to help IC manufacturers obtain optimal patterning on advanced devices. With today’s complex multiple patterning and spacer pitch splitting technologies, patterning errors are no longer tied to the lithography cell. Patterning errors can come from fab-wide sources, such as wafer distortion caused by CMP that directly relates to scanner focus errors. The 5D solution utilizes multiple types of metrology systems to identify and control fab-wide sources of pattern variation, with an intelligent analysis system to handle the data being generated. A critical component of this system solution is the ability to feed back and feed forward metrology data (FIGURE 6). Feedback loops have been utilized for many design nodes. For example, Archer 500LCM overlay metrology systems identify patterning errors and feed back information to the lithography module and scanner to improve the patterning of future lots. But, there is also the opportunity to feed forward information that can further improve patterning. For example the Wafer-Sight PWG patterned wafer geometry measurement system can measure wafer shape after processes such as etch and CMP and this data can be fed forward to the scanner to improve patterning [13 – 15]. Overall, this 5D solution—utilizing fab-wide, comprehensive measurements and an intelligent combination of feedback and feed forward control loops—can help fab engineers expand their process windows, reduce variation within those windows, and ultimately obtain better patterning results.

FIGURE 6. KLA-Tencor’s 5D patterning control solution implements multiple data loops to help optimize patterning. Existing feedback loops (blue) have existed for several design nodes and detect and compensate for process variations. New, optimized feedback loops (green) provide earlier detection of process changes. Innovative feed forward loops (orange) utilize metrology systems to measure variations at the source, then feed that data forward to subsequent process steps.

FIGURE 6. KLA-Tencor’s 5D patterning control solution implements multiple data loops to help optimize patterning. Existing feedback loops (blue) have existed for several design nodes and detect and compensate for process variations. New, optimized feedback loops (green) provide earlier detection of process changes. Innovative feed forward loops (orange) utilize metrology systems to measure variations at the source, then feed that data forward to subsequent process steps.

These comprehensive process control solutions are a critical part of IC industry success, enabling high yields and fast ramps by allowing engineers to more quickly and cost-effectively address a broad range of process issues. Going forward, it is essential to maintain an ecosystem of innovation and collaboration that ensures novel process control systems and solutions are developed that address IC process and cost challenges.

References

1. “The Chip Insider,” VLSI research, March 26, 2013.
2. PriceandSutherland,“Process Watch:You Can’t Fix What You Can’t Find,” Solid State Technology, July 2014. http://electroiq.com/blog/2014/07/process-watch-the-10-fundamental-truths-of-
process-control-for-the-semiconductor-ic-industry/
3. PriceandSutherland,“Process Watch:Sampling Matters,”
Semiconductor Manufacturing and Design, September 2014. http://semimd.com/blog/2014/09/15/process-watch-sampling-matters/
4. PriceandSutherland,“Process Watch:The Most Expensive Defect,” Solid State Technology, December 2014. http:// electroiq.com/blog/2014/12/the-most-expensive-defect/
5. Sutherland and Price, “Process Watch: Fab Managers Don’t Like Surprises,” Solid State Technology, December 2014. http:// electroiq.com/blog/2014/12/process-watch-fab-managers-dont- like-surprises/
6. Sutherland and Price, “Process Watch: Know Your Enemy,” Solid State Technology, March 2015. http://electroiq.com/ blog/2015/03/process-watch-know-your-enemy/
7. SutherlandandPrice,“Process Watch:Time is The Enemy of Profitability,” Solid State Technology, May 2015. http://electroiq.com/blog/2015/05/process-watch-time-is-the-enemy-of-profitability/
8. Price and Sutherland, “Process Watch: The Most Expensive Defect, Part 2,” Solid State Technology, July 2015. http://electroiq.com/blog/2015/07/process-watch-the-most-expensive-defect-part-2/
9. Price and Sutherland, “Process Watch: Increasing Process Steps and the Tyranny of Numbers,” Solid State Technology, July 2015. http://electroiq.com/blog/2015/07/process-watch-increasing-process-steps-and-the-tyranny-of-numbers/
10. Sutherland and Price, “Process Watch: Risky Business,” Solid State Technology, September 2015. http://electroiq.com/blog/2015/09/process-watch-risky-business/
11. Korczynski, “Overlay Metrology Suite for Multiple Patterning,” Semiconductor Manufacturing and Design, August 2014. http://semimd.com/blog/2014/08/26/overlay-metrology-suite-for-multiple-patterning/
12. Moyer, “Feed It Forward (And Back),” Electronic Engineering Journal, September 2014. http://www.eejournal.com/archives/ articles/20140915-klat5d/
13. Lee et al, “Improvement of Depth of Focus Control using Wafer Geometry,” Proc. of SPIE, Vol. 9424, 942428, 2015.
14. Tran et al, “Process Induced Wafer Geometry Impact on Center and Edge Lithography Performance for Sub 2X nm Nodes,” 26th Annual SEMI Advanced Semiconductor Manufacturing Conference, 2015.
15. Morgenfeld et al, “Monitoring process-induced focus errors using high resolution flatness metrology,” 26th Annual SEMI Advanced Semiconductor Manufacturing Conference, 2015.

ROBERT CAPPEL is Senior Director and CATHY PERRY-SULLIVAN is Technical Marketing Manager, Global Customer Organization, KLA-Tencor Corporation Milpitas, CA.

TSMC this weekend announced that the earthquake of 6.4 magnitude which struck southern Taiwan at 3:57 a.m. on February 6, 2016 did not cause any serious personnel injuries nor any structural or facility damage to the Company’s Fab 14 and Fab 6 manufacturing sites in the Tainan Science Park. The earthquake also did not cause equipment to shift position.

Damage to wafers in progress remains under assessment, but TSMC’s initial estimate is that more than 95 percent of the tools can be fully restored to normal in two to three days. The company is deploying personnel from Hsinchu and Taichung sites to support recovery in Tainan, and does not expect the earthquake to affect first quarter 2016 wafer shipment by more than 1 percent. TSMC will soon notify affected customers and will recover any lost production as soon as possible.

Acting spokesperson Elizabeth Sun told Reuters that staff was safe and the firm’s Tainan facilities were structurally intact.

Human and animal movements generate slight neural signals from their brain cells. These signals obtained using a neural interface are essential for realizing brain-machine interfaces (BMI). Such neural recording systems using wires to connect the implanted device to an external device can cause infections through the opening in the skull. One method of solving this issue is to develop a wireless neural interface that is fully implantable on the brain.

However, the neural interface implanted on the brain surface should be of small size and minimally invasive. Furthermore, it requires the integration of a power source, antenna for wireless communication, and many functional circuits.

Now, a research team at the Department of Electrical and Electronic Information Engineering at Toyohashi University of Technology has developed a wafer-level packaging technique to integrate a silicon large-scale integration (LSI) chip in a very thin film of a thickness 10 μm. The approach is realized using flip-chip bonding. The researchers have fabricated a wireless power transmission (WPT) device including a flexible antenna and rectifier chip by using the proposed method.

The first author PhD candidate Kenji Okabe said, “We have investigated how to integrate flexible antenna and high-performance circuits and tried this fabrication method with process conditions obtained through experiments.” Assistant Professor Ippei Akita, who is leading the project, said, “Using flexible device technology is a good solution to implement bio-compatible passive devices such as antennas or sensor electrodes. On the other hand, silicon-based integrated circuit technology, which has long history, is suitable for ultra-low-power systems with many functionalities. So, we believe that combining these technologies is essential to establish such minimum invasive implantable devices.”

The fabricated device is of size 27 mm × 5 mm, and 97% of the device area is composed of a flexible film as the silicon chip has a small area. Therefore, it has sufficient flexibility to fit the shape of the brain surface. In addition, the researchers achieved WPT to the device immersed in saline.

This WPT device can supply electricity to other circuits included in the neural interface. The researchers are trying to integrate more functions (e.g., amplifiers, analog-to-digital converters, signal processors, and radio frequency circuits) to an LSI chip. This study may contribute to the development of safer BMI systems.