Category Archives: Wafer Level Packaging

A Portland, Oregon jury today delivered a verdict in favor of Mentor Graphics in a patent infringement trial against Synopsys, Inc., awarding Mentor Graphics $35 million in damages and royalties.

The jury in the United States District Court for the District of Oregon found that one Mentor patent – U.S. Patent No. 6,240,376 – was directly and indirectly infringed by EVE and Synopsys.  As part of the verdict, the jury awarded damages of approximately $36 million and certain royalties to be paid to Mentor Graphics.

Four other Mentor patents were dismissed from the case prior to the trial. Synopsys said it plans to appeal the jury’s verdict.

FlipChip International (FCI), a developer of flip chip bumping and advanced wafer level packaging technologies, announced that their engineering team had completed design and production of the 250th Multi-Product Wafer Bump design since January 2013.

Multi-Product Wafer (MPW) Bump Designs are complex and challenging to create but provide a way for customers to quickly test multiple IC designs and provide samples to customers. MPW wafers have many different ICs fabricated on the same wafer. These can be design variations of a single base IC, to help optimize functional performance, or many completely different ICs with different die sizes. FCI has created thousands of product and MPW designs for customers around the world, and partners with many semiconductor manufacturers and foundries to enable them to test out hundreds of new IC designs and sample their customers with bumped ICs without going to the cost of creating individual mask sets for each new IC. FCI’s ability to design, manufacture, and inspect a large number of MPW designs, as well as full-production designs, places them at the cutting edge of advanced Wafer Level Package development.

Doug Scott, FlipChip’s Sr. Director of Engineering, said, “This is an important milestone for FlipChip, reaching the 250 MPW designs in such a short time frame. I’m very proud of the technical team at FCI in achieving this accomplishment. Our dedication to supporting the engineering requirements of all of our customers is an important strength of FCI. We strive to find the best technical solution for our customers, and we’re very pleased to be such an important part of our customers’ development strategy.”

David Wilkie, FlipChip’s CEO, said, “I’d like to congratulate the team for this important milestone. The dedication of the Engineering group in supporting customers around the world in finding the best technical solution to their wafer level packaging challenges remains a core strength of FCI. We’re very proud of our engineering team, and we remain committed to supporting our customers at the highest technical levels.”

NANIUM today announced it has successfully launched the industry’s largest Wafer-Level Chip Scale Package (WLCSP) in volume. The final units measure 25mm x 23mm and are produced on 300mm wafers, a packaging solution with proven manufacturability that was entirely developed in-house.

“Our customer, Custom Silicon Solutions, is a provider of complex mixed-signal ASIC solutions. We were requested to deliver a customized Fan-In Wafer-Level Packaging/ WLCSP solution beyond common practice, as it was nine times larger in area. Standard WLCSPs usually range up to 8mm x 8mm, in some extreme cases up to 10mm x 10mm,, said Steffen Kroehnert, Director of Technology at NANIUM.

Mike McDaid, Director of Sales at CSS, commented: “After completing a very successful high volume run of a 65nm product in eWLB at NANIUM, we approached them with our next 28nm WLCSP requirements. The first article worked as promised and enabled CSS to get to market quickly with an ASIC which is unprecedented by several times in thermal and computational performance. No other package solution in existence would have achieved the low lead resistance and high reliability we demanded. This ASIC in NANIUM’s WLCSP establishes a new world class of integration, beyond VLSI-SOC (Very Large Scale Integration System-on-Chip). The final product is just about the maximum reticle size allowed and consumes hundreds of Watts.”

The wafers with the high-performance digital chips are produced with 28nm CMOS technology and contain over 5.5 billion transistors, one of the largest transistor-count chip produced by Global Foundries. Once produced in Dresden, Germany, wafers are sent to NANIUM for packaging. Such large dies are usually packaged in Wirebond-BGA or FlipChip-BGA with a small bump pitch, applying underfill material between bumped die and FlipChip substrate to ensure the required board-level reliability. The WLCSP solution developed by NANIUM relies on a high count of 1,188 solder balls at a wide BGA pitch of 0.7mm. It has successfully passed more than 400 temperature cycles on board, as stipulated by the IPC-9701 (TC2) standard, the most critical reliability test for such device.

“It was something new that had never been accomplished in WLCSP before, and we were extremely fortunate that NANIUM decided to take on the challenge,” said Mike McDaid. “Additionally, we were very pleased with the collaborative working process with NANIUM’s engineers. Even when quite formidable design issues were encountered, they proved to be competent, detail-oriented, communicated well and respected the time constraints. We also did a thorough quality audit on-site and were very impressed with the entire manufacturing flow.”

Steffen Kroehnert also commented that “we have been very excited about taking this challenge. At NANIUM, we do our best to understand the needs of our customers and tailor solutions accordingly. CSS has been very satisfied with the performance and the reliability of the product and has approved it for release to volume manufacturing.”

WLCSP is a technology in the semiconductor packaging industry that offers the smallest package form-factor possible. It enables low-cost manufacturing, and a high performance suitable for low I/O density. WLCSP’s product applications include Mobile and consumer products, Wireless connectivity, MEMS and Sensors.

Ziptronix Inc. today announced that its Direct Bond Interconnect (DBI) hybrid bonding has been implemented by Fermi National Accelerator Laboratory (Fermilab) to improve the performance of high-end 3D sensor arrays, which are used for particle detection in large-scale particle physics and x-ray imaging experiments. This is an example of three-layer DBI hybrid bonding in a 3D imaging chip, using DBI wafer-to-wafer and die-to-wafer processes.

The demonstrator, a vertically integrated x-ray photon imaging chip (VIPIC) detector, was developed by a collaboration of scientists and engineers from Fermilab, Brookhaven National Laboratory and AGH University from Poland. DBI hybrid bonding technology enables versatile new designs for pixelated radiation detectors. Fermilab and Brookhaven are national laboratories funded by the U.S. Department of Energy.

“Implementing DBI hybrid bonding enables us to design sophisticated combinations of sensors and readout electronics,” said Ron Lipton, Staff Scientist, Fermilab. “By enabling vertical signals through stacked sensor, readout and processing layers, we can design large-scale arrays that are side-edge buttable with high fill factor.”

The process flow for manufacturing the VIPIC involves using wafer-to-wafer DBI hybrid bonding to bond two ASIC wafers containing through silicon vias (TSVs). The bonded wafer pair is thinned to expose the TSVs on one side, then singulated. The singulated die stacks are then bonded to an x-ray sensor wafer using die-to-wafer DBI hybrid bonding. Subsequent thinning of the other side of the bonded wafer pair allows backside connections to the 3-layer assembly.

“This is an advanced three-layer imaging chip manufactured using DBI hybrid bonding,” said Paul Enquist, CTO, Ziptronix. “Electrical data shows that this approach achieves lower noise, higher bandwidth and higher gain due to lower capacitive load when compared with parts stacked using bumping. This increases the sensitivity of the 3D image sensors, making them ideal for use in high-end applications.”

DBI hybrid bonding is a conductor/dielectric bonding technology that includes a variety of metal/oxide/nitride combinations, uses no adhesives and is CMOS foundry compatible. It allows for stronger bonds and finer-pitch interconnect over traditional thermocompression bonding since bonding occurs at both the conductive and dielectric materials, versus just the conductor. Bonding therefore takes place over the entire surface area, eliminating the need for underfill as well as significantly reducing the overall height of the structure.

STATS ChipPAC Ltd., a provider of advanced semiconductor packaging and test services, announced today that it has shipped over 100 million semiconductor packages with the company’s fcCuBE technology, advanced flip chip packaging with fine pitch copper (Cu) column bumps, Bond-on-Lead (BOL) interconnection and enhanced assembly processes.

fcCuBE technology is well established in the mobile market with the most significant production volume to date in small chip scale packages where the performance, size and cost benefits successfully address customer requirements in smartphones, tablets and wearable devices. The compelling performance and cost advantages of fcCuBE are also accelerating the diversification of this advanced technology into large die packages for consumer and networking applications where very high performance, reliability and processing speeds are imperative.

“The exceptional success of fcCuBE in the mobile market over the last year is a reflection of the complex performance and form factor requirements that our customers face and the clear advantages of this advanced technology. Demand for greater functionality and significantly higher processing speeds in consumer and networking devices is also driving flip chip packaging technology for ICs containing ultra low K dielectrics, very large package sizes, very fine bump pitches and lead-free solder,” said Dr. Han Byung Joon, Executive Vice President and Chief Technology Officer, STATS ChipPAC. “fcCuBE has proven to be a scalable technology that cost effectively addresses the technical requirements for high performance devices.”

In consumer applications such as set top boxes (STB) and digital television (DTV) ICs, higher functionality, faster data rates and increased bandwidth are required for enhanced user interfaces, rich graphics and outstanding audio quality. Wire bonding technology, a popular packaging choice in the past, is often unable to successfully address the increased thermal and electrical performance requirements for next generation consumer applications and, as a result, semiconductor companies are turning to high performance flip chip interconnect to differentiate their products. The BOL interconnection and very fine pitch Cu bumps in fcCuBE technology deliver exceptionally high I/O density and bandwidth with excellent electromigration (EM) performance for high current carrying applications such as STB and DTV ICs at a cost competitive price point for customers.

The functional and performance requirements for networking devices continue to evolve as well, driving demand for larger and thinner packages supporting very high current densities and bandwidth requirements. These high performance devices also require a steady and consistent supply of power which becomes challenging as device functionality increases. In addition, there are yield and reliability concerns that arise from the larger package sizes and very fine pitch interconnection that is required to produce higher I/O densities. fcCuBE technology significantly reduces the substrate layer count and complexity, achieving a thinner, lower cost package with high power integrity, superior control over thermal performance and higher resistance to EM over standard flip chip packages.

“Over the course of the last year, rapidly increasing density, performance and bandwidth challenges have become a driving force for customers who are looking for a powerful, cost effective flip chip technology to support their next generation mobile, consumer and networking applications,” said Chong Khin Mien, Senior Vice President of Product and Technology Marketing, STATS ChipPAC. “The growth in our fcCuBE production volume is a clear vote of customer confidence in our ability to deliver an advanced packaging solution that best meets the cost and performance targets for their specific product requirements.”

MEMSIC, Inc., a MEMS sensing solution provider, announced today the availability of its MXC400xXC, the world’s first monolithic 3D accelerometer, and also the first 3D accelerometer to utilize WLP technology. The technology breakthrough in combining the 3D IC sensor with full WLP translates directly to a 60% reduction in cost and a 50% reduction in size, enabling a new generation of mobile consumer devices including phones, tablets, toys and wearable devices.

The key to this breakthrough is MEMSIC’s proprietary and patented thermal accelerometer technology, in which the MEMS sensor structure is etched directly into standard CMOS wafers, enabling the world’s only CMOS monolithic solution. This technique uses thermal convection of heated gas molecules inside a sealed cavity to sense acceleration or inclination, and has been used for many years in MEMSIC’s products for automotive stability control and rollover detection, digital cameras, projectors and many other applications. MEMSIC’s designers have now taken the technology to a new level by combining 3D sensing with full WLP while keeping the same small size and low cost.

The MXC400xXC offers a number of benefits to system designers of space- and cost-sensitive consumer devices. In addition to offering the world’s lowest cost, the device provides 12-bit resolution on all three axes, programmable FSR of ±2g/±4g/ ±8g, an 8-bit temperature output, plus orientation/shake detection. With a package size of 1.2 x 1.7 mm, board space is reduced by 50% over industry-standard 2×2 mm solutions. And like all MEMSIC thermal accelerometers, the MXC400xXC has no moving parts, making the sensor structure extremely robust to shock and vibration (withstands shock in excess of 200,000g with no change in sensor performance). This is critically important to wearable and many consumer applications.

Dr. Yang Zhao, MEMSIC CEO and Founder, commented “While we have been supplying thermal accelerometers for more than a decade, the MXC400xXC is a real breakthrough in sensor design, signal processing architecture and MEMS WLP. This is the industry’s first and only monolithic 3D accelerometer with full WLP technology, enabling us to achieve a new level of size and cost, which are critical for mobile consumer devices.”

The Facilities 450mm Consortium (F450C), a partnership of leading nanoelectronics facility companies guiding the effort to design and build the next-generation 450mm computer chip fabrication facilities, today announced it has again increased in size, naming Pfeiffer Vacuum as the twelfth member company to join the consortium.

“Two of the main objectives of the F450C include improved Airborne Molecular Contamination (AMC) detection and response and increased green mode systems usage,” stated Adrian Maynes, F450C program manager. “Bringing Pfeiffer Vacuum on board adds valuable expertise to help us address the goalsof our key focus groups.”

Pfeiffer Vacuum’s advanced AMC solutions have already been tested and are ready for implementation into the next technology node. The company’s expertise regarding the contaminants associated with pod systems and their direct environments will help ensure high quality and increased yield in the production of the larger and more advanced 450mm wafer. In addition, Pfeiffer Vacuum’s high-efficiency pumps have been designed and engineered specifically for the 450mm infrastructure — complete with advanced leak detection — further enabling the environmental sustainability of 450mm facilities.

“All of our vacuum pumps, systems and leak detectors are developed with sustainability in mind,” said Oliver Mayfarth, market manager semiconductor at Pfeiffer Vacuum. “We are excited to be aligned with the F450C to ensure that 450mm technology leaves little footprint and is developed in the most cost-effective manner.”

Read more: 450mm transition toward sustainability: Facility and infrastructure requirements

Recent developments in wafer bonding technology have demonstrated the ability to achieve improved bond alignment accuracy. 

BY THOMAS UHRMANN, THORSTEN MATTHIAS, THOMAS WAGENLEITNER and PAUL LINDNER, EV Group, St. Florian am Inn, Austria.

Scaling and Moore’s law have been the economic was initially misty, several paths to integration have been the economic drivers in the planar silicon arena for the last 30 years. During that period, major technology evolutions have been implemented in CMOS processing. The most recent of these evolutions have been extremely complex, including multiple-step lithographic patterning, new strain enhancing materials and metal oxide gate dielectrics. Despite these great feats of engineering and material science, the often predicted “red brick wall” is once again fast approaching and requires evasive action. In fact, several semiconductor suppliers have already shown that the “economic” brick wall has arrived at the 22nm node, where scaling can no longer decrease the cost per transistor [1]. Solutions are getting more difficult to track down in an industry driven by increasing performance at lower cost.

3D-IC integration provides a path to continue to meet the performance/cost demands of next-gener- ation devices while avoiding the need for further lithographic scaling, which requires both increas- ingly complex and costly lithography equipment as well as more patterning steps. 3D-IC integration, on the other hand, allows the industry to increase chip performance while remaining at more relaxed gate lengths with less process complexity— without necessarily adding cost [1].

While the initial outlook on 3D-IC integration was initially misty, several paths to integration have since been identified, giving an unobscured view to the future in the third dimension [2]. The current state of 3D-IC integration is analogous to crossing the Alps. There are different options to get over the mountain range: by smart use of the valleys, more dangerous direct ascent and descent, or by the brute force of tunneling through. In the end, the most economic routes are combinations of all these factors. In 3D-ICs we see a similar process occurring now. Some 3D devices are established in the middle of the fabrication process, referred as mid-end-of- line (MEOL), while some are established using chip stacking at the back-end-of-line (BEOL). In the future, some 3D stacking will be pulled upstream into the front-end-of-line (FEOL). Which integration scheme will be adopted by a manufacturer depends mainly on the target device, market size and compatibility of processes. The most cost-effective approach to 3D-IC integration should be a combination of all three integration schemes. That said, for many applications 3D-IC integration in FEOL processing offers further potential to pave the way for cost reduction, perfor- mance increase and higher-power efficiency. Front-end processing is still seen as a purely planar-based process, where the power/performance of the device comes from the silicon. However, many disruptive processes and materials, such as SiGe and other epitaxial layers, have already been implemented to enable device improvements. As a result, the boundary between planar and 3D stacking has already softened and paves the way for heterogeneous integration (e.g., memory on memory, memory on logic, etc.) to become prevalent going forward [3].

FIGURE 1. Comparison of different 3D front-end-of-line integration schemes.

FIGURE 1. Comparison of different 3D front-end-of-line integration schemes.

FIGURE 1 provides an overview of different 3D integration process schemes at FEOL. The first integration scheme being considered is layer- by-layer epitaxial growth, which has been a standard process for the semiconductor industry for the last 20 years. However, current epitaxy temperatures, which are in excess of 600-1000°C, make epi not a viable option for 3D integration today, since metal diffusion and broadening dopant distribution of the functional substrate wafer caused by these extreme temperatures would destroy the underlying IC layer. A second integration method is hybrid bonding, whereby a dual damascene copper and silicon oxide hybrid interface serves as both the full-area bonding mechanism and the electrical connection. A third route for 3D integration is the transfer of a thin processed semiconductor layer (ranging from tens to a few hundred nanometers in thickness) using a full-area dielectric bond. In contrast to hybrid bonding, the electrical connection is introduced by a via-last process between early interconnect metal levels on the bottom wafer and the second transferred transistor layer.

Both hybrid bonding and full-area dielectric bonding can be achieved through aligned wafer-to- wafer fusion bonding. However, high-interconnect density along with small routing dimensions set a high bar for bond alignment precision, which is necessary for fusion bonding. Fusion bonding is a two-step process consisting of 1) room-temperature pre-bonding and 2) a high-temperature annealing step. This essentially relates to the chemical bonds at interface. While pre-bonding is based on hydrogen bridges, thermal annealing facilitates the formation of covalent bonds.

FIGURE 2. Calculated surface overlap of metal TSVs for hybrid bonding as a function of wafer-to-wafer alignment accuracy. Comparison of ITRS roadmap relevant TSV pitches and diameters reveal, alignment accuracy of better than 200nm (3�) is needed to achieve 60% and more TSV overlap for hybrid bonding.

FIGURE 2. Calculated surface overlap of metal TSVs for hybrid bonding as a function of wafer-to-wafer alignment accuracy. Comparison of ITRS roadmap relevant TSV pitches and diameters reveal, alignment accuracy of better than 200nm (3) is needed to achieve 60% and more TSV overlap for hybrid bonding.

An important benefit of fusion bonding is the widespread avail- ability of bonding materials. Any exotic or novel material suffers a high barrier to adoption in the semiconductor industry, in part because it must comply with many different specifications and requires lengthy and extensive failure analysis to ensure no negative impacts are introduced across the entire chip process. With fusion bonding, however, all integration schemes rely on silicon oxide, silicon nitride or oxy-nitrides as dielectric bonding materials, and copper or other interconnect metals— all of which are standard in state-of-the-art IC production lines.

Early on, successful fusion bonding required that the bonding material be transformed into a viscous flow, which required extremely high temperatures (ranging from 800°C to 1100°C depending on doping as well as deposition method) [4]. However, major research has been and continues to be invested in interface physics and morphology prior to bonding and their effect on the bonding result. Recent efforts in low-temperature plasma activation bonding have enabled a reduction of the thermal annealing temperature to about 200°C and opened up the possi- bility for further material combinations [5,6]. In fact, fusion bonding is already being implemented in high-volume production for certain applications, including image sensors and engineered substrates, such as silicon-on-insulator (SOI) wafers. In the case of wafer-to-wafer fusion bonding, the process can readily being introduced into the CMOS process flow, which uses low-k dielectrics and standard metals.

Alignment is key for fusion-bonded 3D-ICs

Minimizing the via dimension for via-last bonding, or the via and bonding pad dimensions for hybrid bonding, are key requirements for bringing down the cost of 3D devices. Considering that the role of a TSV is essentially “only” for signal connection yet consumes valuable wafer real estate, further miniaturization has to be the logical consequence. Increasing integration density is a means of regaining valuable active device area. However, a direct consequence of smaller interconnect struc- tures is the need for improved wafer-to-wafer alignment.

As indicated in the cross section of FIGURE 1 for via-last processing after semiconductor layer stacking, lithographic etch masks for the vias need to be aligned to the buried metal layers. Bonding alignment is also key here, since the resist layer must match with contacts on both the bottom and top device layers. In order to minimize loss of silicon real-estate and maintain small wiring exclusion zones, the bond alignment must be within tight specifications and adapt to metal, via and contact nodes, as shown in FIGURE 2.

The semiconductor world would be easy if devices operated at a constant voltage. However, a major concern with 3D-IC/through-silicon via (TSV) integration is the potential introduction of high- frequency response and parasitic effects. Again, bond alignment is of major importance here. Any via within the interconnection network will generate a certain electric field around it. Perfect alignment between individual interconnect layers results in a symmetric electric field, whereas misalignment can cause a local enhancement of the electric field. This in turn can result inan electric field imbalance. Further scaling of intercon- nects and pitch reduction between vias means that inhomogeneous electric fields gain importance. Memory stacking and high-bandwidth interfaces with massively parallelized signal buses are particularly sensitive to this issue [2].

Optimizing alignment values

From the above discussion, it becomes clear that wafer-to-wafer alignment accuracy for fusion bonding has to
be in line with interconnect scaling. The 2011 edition of the Interna- tional Technology Roadmap for Semiconductors (ITRS) roadmap (at the time of writing this article, the Assembly and Packaging section of the 2013 ITRS Roadmap has not yet been published) specified that for high-density TSV applications, the diameter of vias will be in the range of 0.8-1.5 μm in 2015 [2], which requires an alignment accuracy of 500nm (3) in order to establish a good electrical connection. Previous studies have demonstrated that alter- native wafer-to-wafer alignment approaches can achieve a post-bond alignment accuracy of better than 250nm for oxide-oxide fusion bonding [7]. The newly introduced SmartView®NT2 bond aligner has demonstrated the ability to achieve face-to-face alignment within 200nm (3), as shown in FIGURE 3.

FIGURE 3. SmartView NT2 alignment data for consecutive alignments (left), revealing an alignment accuracy of 200nm (3�) from the histogram and corresponding normal distribution (right).

FIGURE 3. SmartView NT2 alignment data for consecutive alignments (left), revealing an alignment accuracy of 200nm (3) from the histogram and corresponding normal distribution (right).

Several factors contribute to the global alignment of the wafers besides the in-plane measurement
and placement of the wafers relative to each other. In fusion bonding, both wafers are aligned and a pre-bond is initiated. When bringing the device wafers together, wafer stress and/or bow can influence the formation of a bond wave. The bond wave describes the front where hydrogen bridge bonds are formed to pre-bond the wafers. Controlling the continuous wave formation and controlling influencing parameters is key to achieving the tight alignment specifications noted above. In essence, optimizing a fusion bonding process means that one must optimize the force generated during the bonding.

For example, bowing and warping of processed wafers can be substantial after via etching and filling. TSVs in particular represent local strain centers on a wafer. Minimizing the via size and depth helps to reduce the strain, which heavily influences the shape and travel of the bond wave. At the same time, this bond wave also causes local strain while running through the bonding interface. Any wafer strain manifests in distortion of the wafer, which leads to an additional alignment shift. Process and tool optimization can minimize strain and significantly reduce local stress patterns. Typically, distortion values in production are well below 50nm. Indeed, further optimization of distortion values is a combination of many factors, including not only the bonding process and equipment, but also previous manufac- turing steps and the pattern design. To a large extent, plasma activation also determines initial bonding energies, which impact the travel and formation dynamics of the bond wave and consequently wafer distortion.

Conclusion

In summary, aligned fusion wafer bonding is progressing rapidly to support front-end 3D-IC stacking. However, wafer bonding alignment accuracy must improve in order to meet the production requirements for both current and future design nodes. Controlling the local alignment of the wafers is only one aspect. Other important aspects include the initiation, manipulation and control of the bond wave. Recent developments in wafer bonding technology have demonstrated the ability to achieve bond alignment accuracy of 200nm (3) or less, which is needed to support the production of the next generation of 3D-ICs.

References

1. Z. Or-Bach, “Is the Cost Reduction Associated with Scaling Over?”, June 18, 2012, http://www.monolithic3d.com/2/ post/2012/06/is-the-cost-reduction-associated-with-scal- ing-over.html

2. ITRS Roadmap, 2011 edition
3. M. Bohr, “The evolution of scaling from the homogeneous

era to the heterogeneous era”, IEEE International Electron

Devices Meeting, 2011
4. Q.-Y. Tong and U. Gösele, Semiconductor Wafer Bonding:

Science and Technology (Wiley Interscience, New York, 1999)

5. T. Plach, et al., “Investigations on Bond Strength Develop- ment of Plasma Activated Direct Wafer Bonding with Annealing”, ECS Transactions, 50 (7) 277-285 (2012)

6. T. Plach, et al., “Mechanisms for room temperature direct wafer bonding”, J. Appl. Phys. 113, 094905 (2013)

7. G. Gaudin, et al., “Low temperature direct wafer to wafer bonding for 3D integration”, Proc. IEEE 3D-IC Conference, München, 2010

Jordan Valley Semiconductors Ltd., a supplier of X-ray based metrology tools for advanced semiconductor manufacturing lines, today announced that its micro-spot X-ray Fluorescence (µXRF) metrology tool has been qualified for production monitoring of advanced Wafer Level Packaging (WLP) processes, by another memory player. The tool provides fully automated metrology solutions for several key applications, including single µ-bump chemical composition and height measurements, as well as control of multi-layer Under Bump Metallization (UBM) stack deposition.

Isaac Mazor, Jordan Valley’s CEO, said: “We are glad to add another leading memory customer to our distinguished list of advanced customers. This is additional proof that Jordan Valley’s tool and technology superiority is well appreciated and serves leading companies in the industry. Advancements in WLP technologies, such as the scaling down of solder bumps, complex UBM stacks, etc., set new metrology challenges and requirements that Jordan Valley can address. We believe that our tools will further contribute to our customer’s high yield targets in the current and future WLP process.”

Jordan Valley’s micro-XRF metrology tool is the “tool of record” for single bump composition measurements. It is ideal for non-destructive, in-line µ-bump %Ag measurements and uses a vertical excitation geometry that provides the smallest beam footprint with no dependence on height variation. The tool provides information critical for WLP process control, and comes with fully automated recipe driven measurements and analysis capabilities, advanced navigation algorithms for measurement on product wafers and more.

Jordan Valley’s management will attend Semicon West 2014 in San Francisco on July 7-10, 2014.

By Shannon Davis, Web Editor

Overheard @The ConFab: “I feel the best I’ve felt about semi since 2009.” –Mike Noonen, Silicon Catalyst

Monday’s research and development panel discussion at The ConFab 2014 started on that optimistic note as Moderator Scott Jones of AlixPartners led a discussion on Optimizing R&D Collaboration. Panelists Chris Danely of JP Morgan, Lode Lauwers of imec, Rory McInerney of Intel and Mike Noonen of Silicon Catalyst discussed where the next big growth drivers will come from and the ability of the industry to continue scaling and remain on Moore’s Law through the introduction of new technologies such as EUV, Advanced Packaging and 450mm. The panel also touched on the role startups will play and how increased collaboration can benefit the industry.

Here are highlights from Monday’s discussion.

How do you feel about the semiconductor cycle – is that at a positive point for innovation and small, start-up companies?

Mike Noonen: I feel the best about I’ve felt about semi since 2009. Without a doubt. When you combine that situation that we’re in with a couple driving forces, all of that has fundamental benefits to the semiconductor business at large. You take those mega trends that are not leading edge applications with the challenge of Moore’s Law – those are developing a whole host of innovation. We think this is a great time to think about how to reinvigorate startups – this is the best time to think about innovation.

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

From left to right: Panelists Chris Danely of JP Morgan, Mike Noonen of Silicon Catalyst, Lode Lauwers of imec, and Rory McInerney of Intel

Consolidation is a big theme right now. Is this something that’s holding us back the industry?

Rory McInerney: I don’t think the industry is consolidating for us as much as we think. The big players are still HP, Lenovo, etc. The new players are Google, Facebook, Amazon, etc. – many didn’t exist 10 years ago. Within our world, there’s the traditional space, but there’s a ton of new stuff in the cloud and server segment.

Tell us some of the most exciting areas Intel is participating in.

Rory McInerney: On the data center side, we do want our 10 and 7nm, but one of the drivers of our business is the massive amount of data being generated around the world. There are tens of billions of devices that will be connected to the Internet in the few years. The only commonality in the [IoT] numbers is that they go up. All of them will have some element of connectivity and with that comes data. And that drives a virtual cycle. In our business, we love this – my point is, there’s a huge room for innovation. The innovation isn’t just the device but the software and application side.

How do investors view the emerging markets and trends? Do they see the opportunities or are they still focusing on traditional markets?

Chris Danely: From a broad perspective, the thing that an analyst looks at – are they playing to their strengths? You might have a company that starts out very successful, but they don’t play to their strengths and start to waste money. For example, Texas Instruments has taken their R&D down, but still outgrow the industry, because they play to their strengths. Another example is Intel – in the last 3 years, they were in the foundry business – we see a lot of potential to upset the apple cart in the foundry business. Nobody else could do this, but this is an area where we see them exploiting their strengths. Is the company playing to its strengths? We also look at ARM on servers – we don’t know if this is going to work or not, but I don’t think this changing the landscape of the industry. There’s still a bright future with semiconductor stocks.

How can executives communicate their R&D strategy better?

Chris Danely: I’ll use my personal experience – you want to keep that message very simple. Identify the growth trends. Make sure the message goes out continuously. Don’t be afraid to use a few buzz words/charts.

Lode Lauwers: If I may, Wall Street is looking in the short term. Time scale [for R&D] is close to 15 years. I don’t know if Wall Street has that visibility. I think a company should consider R&D as a long term investment. We go for long term engagements.

Rory McInerney: It’s a portfolio question in terms of R&D – you’re going to have your short term and your long term investments. I don’t think Wall Street is looking at all the details of investments. I think that our investments on the product side go out 10 years, but they’re small compared to our other investments.

Chris Danely: Wall Street has to consider about things on a six month basis.

Mike Noonen: Biotech, which has a very long time to market, is the second largest venture capital in the US. Biotech has remained lucrative and interesting in the US. In this area, companies go after a single application or problem, and it’s a vibrant and healthy investment. The take away is – it’s all about the economics. It might enable small start ups to innovate and then be acquired.

How should the industry leverage a company like imec?

Lode Lauwers: More than ever, you need to build partnerships. In this industry, we used to say, “Our company can work on its own.” Now, your ecosystem needs to become wider. Ten years ago, people were still sponsoring R&D. Now we are assessed in every individual area, deliverable by deliverable, on does it benefit, is there ROI. You need to be able to deliver relevant work. A company on its own doesn’t always have these abilities in house. Using imec, it’s like building on competences.

Do you see differences in how you approach partnerships?

Chris Danely: The CEOs and CFOs of semi companies are under pressure to not increase expenses, and that’s stifled risk-taking. Some are now approaching R&D through acquisition of startups with personnel – rather than partnerships.

Do you think these companies are larger – semi is a part of a much larger landscape – do you think this might drive the industry/change the landscape?

Rory McInerney: About 70-80 percent of cloud computing today is driven by the social media. That didn’t exist 5 years ago. There is a direct link between that and the changing semi landscape.

What is the biggest risk in the industry right now?

Chris Danely: Saturation. Semi companies are profitable, but we’re starting to see a lot of them, especially as fablite and fabless models are catching on.

Moderator Scott Jones of AlixPartners

Moderator Scott Jones of AlixPartners