Category Archives: Wafer Level Packaging

International Rectifier, IR, today announced that the company has commenced initial production at its new ultra-thin wafer processing facility in Singapore (IRSG).

Wafer thinning, metallization, testing and additional proprietary wafer level processing are undertaken at the new 60,000 square foot manufacturing site which receives processed wafers from IR’s internal fabs and foundry partners. The facility, which will employ approximately 135 people in the initial phase, will process a variety of products, including the company’s latest generation power MOSFETs and IGBTs.

“IRSG will help improve IR’s flexibility and production cycle time by providing advanced wafer processing for wafers manufactured internally or at our foundry partners. Furthermore, IRSG will allow IR to consolidate final wafer processing in close proximity to our major assembly locations,” stated IR’s President and Chief Executive Officer, Oleg Khaykin.

“IRSG is a welcome addition to Singapore’s power electronics industry, which continues to be a key growth area. Beyond being a trusted manufacturing location in Asia, IRSG will be able to tap on Singapore’s strong base of talent and reputable research institutes and for R&D collaboration opportunities,” said Terence Gan, Director for Electronics, Singapore’s Economic Development Board (EDB).

International Rectifier Corporation is a developer of power management technology. IR’s analog, digital, and mixed signal ICs, and other advanced power management products, enable high performance computing and save energy in a wide variety of business and consumer applications.

North America-based manufacturers of semiconductor equipment posted $1.28 billion in orders worldwide in January 2014 (three-month average basis) and a book-to-bill ratio of 1.04, according to the January EMDS Book-to-Bill Report published today by SEMI.   A book-to-bill of 1.04 means that $104 worth of orders were received for every $100 of product billed for the month.

The three-month average of worldwide bookings in January 2014 was $1.28 billion. The bookings figure is 7.2 percent lower than the final December 2013 level of $1.38 billion, and is 19.1 percent higher than the January 2013 order level of $1.08 billion.

The three-month average of worldwide billings in January 2014 was $1.24 billion. The billings figure is 8.3 percent lower than the final December 2013 level of $1.35 billion, and is 27.9 percent higher than the January 2013 billings level of $968.0 million.

“Both bookings and billings are at values higher than reported one year ago and are good indications of growth in the 2014 equipment market,” said Denny McGuirk, president and CEO of SEMI. “Device makers are investing in 20nm technology and advanced device structures, while leading packaging houses focus their investments on flip chip, wafer-level, and 3-D packaging.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

August 2013

1,081.9

1,063.9

0.98

September 2013

1,020.9

992.8

0.97

October 2013

1,071.0

1,124.5

1.05

November 2013

1,113.9

1,238.0

1.11

December 2013 (final)

1,349.7

1,380.8

1.02

January 2014 (prelim)

1,238.0

1,281.9

1.04

Source: SEMI, February 2014
The data contained in this release were compiled by David Powell, Inc., an independent financial services firm, without audit, from data submitted directly by the participants. SEMI and David Powell, Inc. assume no responsibility for the accuracy of the underlying data.

The data are contained in a monthly Book-to-Bill Report published by SEMI. The report tracks billings and bookings worldwide of North American-headquartered manufacturers of equipment used to manufacture semiconductor devices, not billings and bookings of the chips themselves. The Book-to-Bill report is one of three reports included with the SEMI Equipment Market Data Subscription (EMDS).

SEMI is the global industry association serving the nano- and micro-electronic manufacturing supply chains.

Semiconductor Manufacturing International Corporation, China’s largest and most advanced semiconductor foundry, and Jiangsu Changjiang Electronics Technology Co., Ltd., the largest packaging service provider in China, jointly announced today a joint venture for 12″ bumping and related testing. JCET will also build advanced back-end package production lines nearby. The two parties will use this as a base to jointly set up and develop an IC manufacturing supply chain within China to provide a high-quality, efficient and convenient one-stop-shop service for global customers focusing on the China market.

Bumping is a necessity for wafer yield testing of advanced front-end IC manufacturing technologies, and the basis for the 3D wafer level packaging technology development. With the rapid growth of mobile market in China, and increasing adoption of advanced 40nm and 28nm process technologies, IC chips and their demand for bumping are anticipated to grow rapidly in the next few years

By establishing Bumping and nearby advanced flip-chip packaging capabilities, along with SMIC’s front-end 28nm process technology offerings, the first complete 12″ advanced IC manufacturing local supply chain in China will be formed. This supply chain can greatly reduce the cycle time between FEOL (Front-end of Line) and MEOL (Middle-end of Line) / BEOL (Back-end of Line), and effectively control the intermediate costs. More importantly, it is closer to the end market in China, therefore it can shorten the time to market for fabless customers while focusing on China’s mobile market.

Using this as a foundation, both sides will also strengthen the co-operation in the 3D wafer level packaging field.

“Collaborating with China’s largest packaging service provider meets SMIC’s long-term strategy of cultivating China’s IC ecosystem,” said Dr. Tzu-Yin Chiu, Chief Executive Officer & Executive Director of SMIC. “By jointly cooperating in the bumping line and having JCET’s advanced package process next door, we will be able to provide an one-stop-shop service with mutual benefits, and establish the first 12″ advanced IC manufacturing local supply chain in China. It is a strategic and necessary step for SMIC to take to provide more value-added services to customers.”

“In combination with SMIC’s strong capabilities of front-end wafer manufacturing and technology R&D, and JCET’s experience in core semiconductor packaging technologies, this joint venture has complementary advantages for both sides,” said Mr. Wang Xinchao, Chairman of JCET. “Together, we will devote our efforts to build a supply chain which is the most suitable for meeting customers’ requirements, and to elevate and enhance the level and competitiveness of China’s IC manufacturing eco-system.

Epoxy Technology, Inc, a manufacturer of high performance specialty adhesives, and John P. Kummer Group, a distributor of instruments and materials for the Microelectronics and related industries, announce the formation of a new specialty adhesive packaging company, Epoxy Technology Europe Ltd (ETEL). This new company (ETEL) formerly a division of John P. Kummer Ltd, is now majority share owned by Epoxy Technology, Inc. Apart from the company name change, customers will not see any changes in the syringe products they receive.

Located in Marlborough, UK, John P. Kummer Ltd founded this packaging services division in 2010 to service European customers in their growing demand to have EPO-TEK brand products more readily and locally available in pre-mixed frozen syringe format (PMFs) for their assembly & manufacturing needs.

According to Andrew Horne, President and COO for Epoxy Technology, Inc., “This ISO certified packaging facility is a welcome addition to our Epoxy offerings in Europe. Our customers have come to rely on us, not only for the quality of our specially formulated adhesives, but also in the skills needed to re-package our products to meet and exceed strict manufacturing requirements. The longstanding commitment to quality and customer satisfaction in the packaging division at JP Kummer Ltd made our decision to expand into packaging in Europe, through this investment, an easy one.”

Rex Sandbach, Founder and Managing Director of John P. Kummer Ltd said, “We at John P Kummer are very proud of our achievements in developing a facility capable of supplying the most demanding needs of our customers and welcome the endorsement of our efforts by the significant investment Epoxy Technology made in this business.”

By Dr. Phil Garrou, Contributing Editor

SEMI’s second annual European 3D TSV Summit was held in Grenoble in late January. 320 attendees met to discuss the status of 2.5/3DIC and other advanced packaging technologies.

Mark Stromberg, principle analyst for Gartner, projects TSV wafer production will be > 500K 300mm equiv wafers/month or > 750MM units / yr by 2016, with a CAGR between 2013 – 2018 of 107%. By 2016 they are predicting theTSV equipment market will approach $1B.  They are also predicting that similar to the lower transistor nodes, only top tier IDM/Foundries/OSATS will participate due to the significant capex requirements.

GlobalFoundries (GF) has been detailing their imminent commercialization of 2.5/3D IC for several years. Michael Thiele, Sr. section manager for packaging reported that Rev 0.5 of their design manual and process design kit would be ready in Mach of this year with Rev 1.0 coming out in the 3Q.   The proposed GF supply chain is shown below:

GF

Miekei Leong, VP of TSMC reported on their plans to validate high bandwidth memory (HBM) on their chip-on-wafer-on substrate ,CoWoS interposer  technology by 4Q 2014 and details on vertical stacking of memory on 28nm logic.

Eric Beyne of IMEC took a look at the cost breakdown for wafer level 3D integration for a fully loaded balanced line producing 5x 50um TSV.

beyne

To sustain the competition in the market, semiconductor manufacturers are continuously introducing innovative products, reducing manufacturing costs, and improving product efficiency.  For instance, they have introduced chips that are available in smaller sizes; the sizes of these chips have reduced from 45nm to 22nm. They have also introduced chips with high dielectric constant materials and fin-fet or tri-gate transistors. In addition, DRAM memory manufacturers are currently employing 3x node production technology and 2x node production technology for the production of DRAMs. As these innovations require highly complicated wafer-level packaging equipment, the increase in the number of innovations by semiconductor manufacturers is likely to foster their demand in the market.

Analysts at ReportsNReports.com forecast the global wafer-level packaging equipment market to grow at a CAGR of 2.9 percent over the period 2013-2018. According to the report, the most important driver is the increasing adoption of mobile devices. Over the past decade there has been an unprecedented growth in the adoption of mobile devices such as cell phones, smartphones, notebook PCs, tablets, ultrabooks, and PDAs. This has led to a consequential rise in the demand for wafer-level packaging equipment, as it is vital for the functioning of mobile devices.

The Global Wafer-level Packaging Equipment Market 2014-2018 has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the APAC region, the Americas, and the EMEA region; it also covers the Global Wafer-level Packaging Equipment market landscape and its growth prospects in the coming years. The report also includes a discussion of the key vendors operating in this market.

The report states that one of the key challenges in this market is the cyclical nature of the Semiconductor industry, which leads to fluctuations in the demand for wafer-level packaging equipment. Moreover, in some cases, the production of such equipment tends to exceed their demand, leading to a large demand-supply gap.

The recognizes the following companies as the key players in Global Wafer-level Packaging Equipment Market: Applied Materials Inc., Disco Corp., EV Group, Tokyo Electron Ltd., and Tokyo Seimitsu Co. Ltd.

Other vendors mentioned in the report are Rudolph Technologies Inc., SEMES Co. Ltd., Suss Microtec AG, Ultratech Inc., and ULVAC.

The short replacement cycle of portable electronic devices is a major trend witnessed in the Global Wafer-level Packaging Inspection Systems market. In the current scenario, portable electronic devices such as smartphones and tablets are becoming obsolete within a short period of time. The main reason for this is the quick succession of next-version models, which results in consumers replacing older versions of their devices with newer ones. At the present time, the duration of the replacement cycle period is 8-12 months, but it used to be much longer. Therefore, this reduction in the product replacement cycle is fostering the demand for semiconductor wafers for their use in newly launched devices.

Analysts forecast the Global Wafer Level Packaging Inspection Systems market to grow at a CAGR of 1.54 percent over the period 2013-2018. According to the report, the growth of the Global Wafer-level Packaging Inspection Systems market is driven by several factors, the most important of which is the rising demand for smartphones and tablets. One of the major reasons for the growing demand for these devices is their increasing adoption in emerging markets. This subsequently creates more demand for semiconductor wafers, thus driving the growth of the Global Wafer-level Packaging Inspection Systems market.

Compiled by Pete Singer, Editor-in-Chief; Edited by Shannon Davis, Web Editor

Internet of Things

We asked leading industry experts and analysts to give us their perspectives on what we can expect in 2014. All expect it to be a banner year for the semiconductor industry, as the world’s demand for electronics continues unabated. However, most believe we are seeing an era of unprecedented change, driven by a shift to mobile computing, the Internet of Things, higher wafer costs and difficult technical challenges. To address these challenges, new levels of innovation and collaboration will be needed.

Click to launch slideshow

ADRIAN S. WILSON, Element Six Technologies Ltd., Berkshire, U.K.

Synthetic diamond is ideally suited for thermal management of semiconductor packaging, as it combines exceptionally high thermal conductivity with electrical isolation.

Studies have shown that when it comes to the reliability of packaged chips, most failure processes follow a temperature dependent behavior. Every 10°C of increase in junction temperature represents a 2x decrease in device lifetime. In fact, more than half of failures in today’s electronic systems are due to temperature (FIGURE 1).

This thermal challenge is at the forefront of package designers’ minds as they struggle to design packages to meet today’s thermal requirements. What’s more, this trend is only going to get worse.

Device power densities are on a trajectory to be well above 100 W/cm2 at 14 nm (according to the ITRS Roadmap). When combined with the need for higher power solid state switching devices for power converters and high frequency components for military, cellular, and satellite communications, the need to manage higher power densities and the associated heat is an issue spanning all major segments of the industry.

Materials_1/td>
Figure 1: failure modes in electronic systems.

Higher thermal conductivity materials are being explored to provide better heat extraction as compared to incumbent materials such as copper. Synthetic diamond is ideally suited for thermal management of semiconductor packaging, especially for today’s advanced electronic systems driving towards higher and higher power density, as it combines exceptionally high thermal conductivity with electrical isolation. Diamond’s thermal conductivity at room temperature is an amazing five times that of copper. In addition, for mobile and aerospace applications, diamond has the advantage of low density (3.52 g/cm3), which combined with its high thermal conductivity, enables small heat spreader dimensions for a very low-weight thermal management solution. For rugged applications, the high Young’s modulus of diamond (1000 to 1100 GPa) helps increase the reliability of the entire package or module.

Industry adoption

Widespread industry adoption of diamond in IC packaging has been slow, however some sectors are recognizing its benefits. It is being effectively integrated into packages for high power, LED and RF devices. However, the economics of diamond synthesis only really work if you can create high quality, thick diamond plates in high volume, which has only been achievable in the last 5-10 years. New materials to the semiconductor industry take anywhere from 5-15 years to be adopted. Synthetic diamond is now moving from the “early adopter” stage to the “early majority” stage of its lifecycle, yet further awareness is critical to fully transition through the product lifecycle model to full-scale use.

materials_2
Figure 2: CVD synthetic diamond wafer, up to 140 mm in diameter and 3 mm in thickness.

Addressing the criteria above, synthetic diamond, by way of microwave chemical vapor deposition (CVD) delivers:

High quality: High quality is relevant since the method of heat transfer within diamond is by lattice vibration, i.e. the transport of phonons. Any material impurities will hinder this lattice vibration and thus reduce the thermal conductivity. Synthetic diamond manufacturers understand this need and have patented such methods as using a microwave source that creates high energy atomic hydrogen which strips away impurities in synthetic diamond during growth.

Thick diamond plates: Thermal conductivity is a three dimensional problem. As such the diamond needs to be of sufficient thickness to rapidly dissipate localized semiconductor heat spots and optimally transfer the heat effectively from the semiconductor to the heat sink. Microwave-assisted CVD is a scalable technology which deposits diamond over large areas and thicknesses (FIGURE 2) at a cost similar to semi-insulating SiC wafers.

High volume: With an uptick in adoption, more manufacturers will look to expand capacity, as some have already done. Expanded capacity enables the industry to synthesize diamond at the appropriate scale to meet the price points required for both high power and RF device packages.

materials_3
Figure 3: Diagram of heat spreader in LED package.

Integrating Synthetic Diamond in IC Design

Thermal conductivity alone is not the whole story. The effectiveness of CVD diamond as a heat spreader in electronic packages depends very much on how it is integrated into the module. To optimize the thermal-management solution, engineers must consider carefully how the die and heat spreader will be attached, device operating requirements, the dimensions and surface conditions of the heat spreader, thermal expansions mismatch, and cost.

Die attach requirements: thermal barrier resistance of the TIM1 interface (FIGURE 3) between the die and heat spreader must be minimized to optimize diamond heat spreader effectiveness. A metallic bond to the die, such as a solder joint, typically creates the least thermal barrier resistance. Because diamond is a chemically inert material, carbide forming materials must be used to metalize diamond with sufficient adhesion. A commonly used metallization scheme of Ti/Pt/Au ensures carbide formation at the Ti/diamond interface to achieve the best results.

Device requirements: a heat spreader can be electrically conductive or insulating, and both options are possible using CVD diamond. The diamond itself is electrically insulating, but can be made conductive by means of covering the side faces with metals or laser drilling vias, with metal fillings, through the diamond.

Heat spreader characteristics: apart from choosing the grade of diamond to be used (from 1000 to 2000W/mK), the size of the heat spreader must also be determined. Heat spreaders are typically sized 50-100 µm longer than the die in each lateral dimension to ensure good solder fillets. Typical spreader thickness varies from 350 to 400 µm for a wide range of devices (FIGURE 4).

materials_4

The Future for synthetic diamond

The combination of the semiconductor industry roadmap for increasing power densities and the increasing availability and affordability of synthetic diamond will result in a rapid increase in the adoption of this engineering material.

Synthetic diamond will be used with a broad range of semiconducting materials, such as SiC, GaAs and GaN.

As adoption increases, so will the desire to optimize TIM1 – the primary interface between die and diamond. No doubt new interface materials will be explored and potentially direct methods of bonding. In fact, making diamond heat spreaders easy to integrate into semiconductor packages and modules, through the implementation of standard package components for instance, will be a key element to the industries increasing adoption of this thermal management solution.

To this end, synthetic diamond manufacturers such as Element Six, in combination with its acquisition of the assets and IP of Group4 Labs, is bringing a GaN-on-diamond substrate to market. This substrate provides a highly optimized TIM1 interface and is already in use by U.S. defense contractors, and such early adopters indicate an increase in its use for the defense sector. Synthetic diamond technology will also reach into telecom infrastructure applications such as satellite communications and mobile base stations. For these applications, synthetic diamond enables higher power density, thus lowering system costs or increasing performance, and allows operation in hotter ambient environments, thus lowering cooling costs and/or increasing lifetimes.

The unique combination of properties synthetic diamond possesses makes it one of the most exciting supermaterials in the world. In a few years, perhaps diamond will become the semiconductor material itself for applications requiring extremely high breakdown voltages. The list of applications for synthetic diamond is only expected to grow, making synthetic diamond manufacturers well-positioned to collaborate with industry partners to ensure future innovative applications for the material. •

ADRIAN S. WILSON is the Head of Technologies Division, Element Six Technologies Ltd., Berkshire, U.K.

Dr. Phil Garrou, Contributing Editor

At the recent Georgia Tech Global Interposer Technology (GIT) Workshop in Atlanta, the pervasive theme appeared to be whether a change in substrate is required to lower overall costs and help drive HVM (high volume manufacturing) applications. Certainly conference chair Rao Tummala, industry visionary whose name is synonomous with microelectronic packaging, feels the time is right to take a serious look at glass interposers both for their superior electrical performance and their promise of lower costs. The PB substrate manufacturers are also taking a serious look at this market and proposing that they can drive their technology to the required dimensions and electrical performance, though many skeptics (including me) are taking a “show me” attitude about these claims.

The Yole Developpement presentation pointed out that while 2.5D silicon interposer technology was fully underway at TSMC and GLOBALFOUNDRIES, UMC and SPIL supposedly are near initiation, all of the rumored “driver applications,” like the Apple A7, the next gen Qualcomm phone, the Sony PS4, ST Micro’s “Wioming” application processor, wide IO memory and the next generation Altera FPGA (see discussion below) have been, at the very least, postponed. While no one would openly reveal what the current and proposed future costs are, it is believed that all of these postponements are due to cost which certainly is not yet meeting the mobile phone requirements of less than 1 cent per sq mm proposed by Qualcomm’s Matt Nowak (i.e., this is roughly $550 for a 300mm wafer of interposers).

While Yole has identified at least 10 products moving towards commercialization, all of them currently require so called high density interposers (i.e. 1 µ m L/S and as small as 10 µ m TSV). Currently these dimensions can only be fabricated using front end dual damascene type processing available only at silicon foundries and more recently the OSAT, SPIL.

While Yole is still projecting a greater than $1B in revenue from 2.5D TSV activity by 2017 (activity revenues including TSV etching, filling, RDL, bumping, wafer test & wafer level assembly), these projections only hold if the current “postponed applications” are quickly commercialized.

During the Amkor presentation Ron Huemoeller indicated that lowering cost could come from elimination of backside RDL on the interposers by arranging pin out on the top side high density interconnect.

Huemoeller sees high end applications being dominated by silicon, mid end applications like graphics possibly using glass and the low end applications (yet unidentified) being wide open. He sees GPU + HBM (high bandwidth memory) being adopted in 2015 and tablets and processors adopting interposer solutions the following year.

In terms of organic “interposers” he indicates that Shinko and Semco are in limited sampling of 2/2 (L/S) and Kyocera 5/5. He labels Unimicron as in “early development.”

After making the standard argument that 2.5/3DIC was needed to combat the costs of continued scaling and that system level cost savings could pay for interposer costs, Dave McCann of GLOBALFOUNDRIES indicated that GF was achieving near 100% yields with reticle sized interposers having 4 layers of high density interconnect.

McCann predicted we would see voltage regulator function on future interposers. He also described a program between Global (chip and silicon interposer), Open-Silicon (design), Cadence (EDA tools) and Amkor (assembly and test), which produced a functional processor vehicle featuring two 28nm ARM Cortex-A9 processors connected on a 2.5D silicon interposer built on a 65nm manufacturing flow. The program demonstrated first-time functionality of the processor, interposer, substrate and the die-to-substrate assembly process. The design tools, process design kit (PDK), design rules, and supply chain are now in place for other activities.

Inherently most believe that all things being equal, glass should be a lower cost interposer solution since it can be processed in large format. However, one interesting question from the audience was “Why are silicon and glass wafer the same price?”

Although the data from experts like Professor Kim from KAIST confirms that glass is a better electrical performance solution, especially for RF applications, the major issue is that a complete infrastructure is not yet in place to manufacture such glass interposers. •

ANDREW HO, Global Industry Director, Advanced Semiconductor Materials, Dow Corning, Hong Kong.

New technology eliminates the need for specialized equipment for wafer pre- or post-treatment.

Advances in three-dimensional (3D) through-silicon via (TSV) semiconductor technology promise to significantly improve the form factor, bandwidth and functionality of microelectronic devices by enabling once-horizontal chip structures to be fabricated as vertical architectures. The challenges to implementing 3D-IC TSV integration are not trivial, and the search for a solution has prompted exploration of several schemes. These are frequently labeled as via-first, via-middle or via-last depending on the position where the 3D TSV fabrication takes place.

3D_1
Figure 1: A thing silicon wafer on diving frame after successful debonding from a silicon carrier wafer at imec, using Dow Corning’s silicon-based temporary bonding solution. Image courtesy of and copyright owned by imec

In via-first integration, TSVs are formed before processing the front-end-of the line (FEOL) layers, which enables high thermal budget processing for TSV insulation and filling. In via-middle schemes, TSVs are added between FEOL and back-end-of-the-line (BEOL) stacking to allow several copper-based interconnections. In a via-last approach, fabrication of TSVs occurs after completion of FEOL and BEOL processing, either from a wafer’s front or back side. This approach generally addresses applications in which low density 3D interconnections are adequate.

In all these approaches, however, TSV fabrication is problematic without thinning the active silicon wafer down to 50µm or less (FIGURE 1) – about half the thickness of a standard piece of printer paper – and therein lies the challenge. In order to handle such ultra-thin wafers, the industry requires solutions that can easily, cost-effectively and temporarily bond and debond active wafers to carrier wafer systems for subsequent wafer thinning and TSV fabrication.

Temporary bonding solutions: A primer

Wafer thinning is already widely applied for IC manufacturing, as well as the manufacture of power devices and image sensors. Depending on process requirements and applications, wafer bonding can be divided into several techniques including direct bonding, anodic bonding and thermo-compression adhesive bonding and others. For 3D-IC integration, however, the most commonly explored approach is attaching device wafers to a carrier wafer for support with the use of polymer-based temporary adhesives. As shown in FIGURE 2 A typical process flow for the use of such temporary bonding solutions first applies a release and an adhesive layer, either on the device or the carrier wafer. After this the device and carrier wafers are bonded together. Subsequent steps, in sequence, involve wafer thinning, TSV reveal or fabrication, formation of redistribution layers and wafer interconnect fabrication, debonding and cleaning of the processed ultra-thin device wafer and, lastly, 3D stacking of the thinned device wafers.

3D_2
Figure 2: A typical process flow for temporary bonding and debonding solutions.

Central to the success of this approach is the polymer adhesive, which must protect the ultra-thin wafer while withstanding the harsh chemicals and thermal stresses imposed by wafer thinning and 3D-IC TSV integration processes. Specifically, temporary bonding/debonding (TB/D) solutions must demonstrate excellent thermal and chemical stability to withstand the plasma processes as well as the solvents, bases and acids used by 3D-IC TSV processes. In addition to delivering excellent adhesive properties to withstand the mechanical stress of the wafer thinning process, temporary adhesives must also be able to maintain global high uniformity of the adhesive layer as characterized by a low total thickness variation (TTV) across the device wafer through all processing steps to reach a typical target of 2 µm TTV on the thin device wafer (FIGURE 3). In addition, these materials must enable low-temperature debonding compatible with different interconnect technologies using solder bumps or copper pillars, and offer a simple wafer cleaning process that will damage neither the underlying layers of the processed device wafer nor the tape on which the thinned wafer stands after debonding.

3D_3
Figure 3: Measurements of a temporarily bonded active water (post-thinning) show total thickness variation to be approximately 4µm.

The potential of polymer-based TB/D solutions has prompted exploration of several material technologies coupled with various equipment platforms and wafer treatments. As development of these and other TB/D solutions advance, 3D-IC TSV integration has yet to become a mainstream technology due to its additional costs and challenges on thin wafer handling. These costs derive not only from the sophisticated materials used, but also the multiple pre-treatment steps that temporary bonding and debonding processes have traditionally required. While these painstaking steps help to ensure high yields and protect the high value of fully functional device wafers, they also hinder 3D-IC TSV integration from moving to volume production and, ultimately, they contribute to a higher total cost of ownership.

3D_4
Figure 4: Wafers spin-coated with the temporary adhesive and then cured tested the materials chemical resistance by soaking it in phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. The temporary bonding material showed negligible weight loss or gain for all chosen chemicals.

Minimizing total cost of ownership is essential for all semiconductor manufacturing applications. But it is a critical enabler for next-generation technologies, such as 3D-IC TSV integration. Recent innovations by Dow Corning and industry collaborators have shown promising development of a simpler, more cost-effective temporary bonding solution based on silicone adhesive and release layers. Importantly, this new solution enables room-temperature bonding and room-temperature mechanical debonding of active and carrier wafers using conventional, high-volume manufacturing methods.

A new bilayer temporary bonding/debonding concept

At the center of this new approach is a simple bilayer concept based on two silicone materials that serve as the temporary bonding materials during the fabrication of thin wafers for 3D-IC TSV integration. It applies a process flow that greatly simplifies the temporary bonding/debonding process, and reduces costs associated with special equipment for pre- or post-process treatments of the device wafer such as plasma, ultra-violet, preferential zone treatment and others.

The first step in the process flow is the spin coat of the temporary bonding materials. This step is critical to minimizing delays in process time, as the total thickness variation (TTV) of the spin coated material can contribute to the TTV of the bonded pair and, later, transfer to the thin wafer during the wafer thinning and post processing of bonded wafer pairs. Thus it is important to start with a low TTV for spin coated films. Notably, the process described here targets TTV for coatings on the device wafer to a range of within 1 percent.

The spin coat step first applies a continuous release layer onto the front side of the device wafer, ensuring the layer entirely covers any micro-structures present. Next, comes spin coat application of a silicone-based adhesive layer of a few tens of microns in thickness – depending on the device wafer’s topography – on top of the release layer. The adhesive layer developed for this process is designed to obtain excellent uniformity and planarization over high bump topographies. It allows single-layer thicknesses between 10 and 110 µm to provide process simplicity.

After application of both layers, the device and carrier wafer are bonded. The carrier wafer can be either silicon or glass, and it does not require any particular pre-processing. Prior to the bonding step, application of vacuum assures no air bubbles are trapped in the adhesive, which is viscous. After degassing, the carrier is dropped onto the device wafer.

Importantly, bonding occurs at room temperature, which greatly improves the opportunity for increased throughput. Also, the silicone-based adhesive is still in its wet state at this point. So, no force is required to bond the pair. Thus, this technology offers the potential to accommodate fragile ultra-low dielectric constant materials used within advanced copper interconnects that are very sensitive to the application of force. The total time for this step takes a couple of minutes, followed by a post-bonding bake on a hotplate – typically at 150° C for a few more minutes – to cure the adhesive layer.

Wafer processing now proceeds with backgrinding and associated process control. Following post-bonding, the bonded pair is mechanically debonded at room temperature along the release to adhesive layer interface. The thinned device wafer remains on a tape on a frame, available for release layer cleaning followed by dicing, pick-and-place and stacking steps. The carrier wafer, still covered with adhesive, is processed for chemical recycling.

Able to withstand real-world processes

Candidate TB/D materials must deliver excellent thermal stability to ensure that the bond remains strong during the various processing steps involved in the copper nail reveal step and formation of redistribution layers on the device wafer. It is also critical that candidate materials do not outgas during post-bond processing, as this can lead to voids or delamination that, ultimately, can contribute to device failures.

Thermal analysis of both the release and adhesive materials used in this new approach heated the thinned bonded pair to 200° C on a hot plate in air for 20 minutes; and then to 200° C in air for three hours, where it passed solder bump reflow conditions at 260° C for 10 minutes; and finally to 200° C for three hours under vacuum. Scanning acoustic microscopy analysis after each test showed no voids or delamination.

These results underscore that both TB/D materials developed for the approach described above can not only hold up under the rigors of conventional backgrinding processes, they can also deliver the thermal stability necessary to withstand the plasma processes applied to the wafer pair during the fabrication of 3D-IC TSV architectures.

Strong chemical resistance is also critical for candidate TB/D materials to ensure they can perform reliably without delaminating or swelling when exposed to the several wet processes that thinned wafers undergo. Testing of the release and adhesive layer materials began by spin coating a wafer with the temporary adhesive, curing it using described protocols and then soaking it in phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. The temporary bonding material showed negligible weight loss or gain for all chosen chemicals (FIGURE 4).

One of the most important enablers of broader adoption of TB/D solutions is the ability to debond thinned device wafers from carrier wafers, and clean any residues from the device wafer without adversely affecting device yields. The new bilayer TB/D concept described above leverages a room-¬temperature peel debond, and has been demonstrated on several conventional, commercially available debonding platforms from leading equipment providers.

The process begins by first mounting the thinned wafer pair onto a dicing tape and holding it in place on a vacuum chuck while peeling off the thick carrier wafer. Because the solvent dissolvable release layer is applied to the thin device wafer with dicing tape exposed, no harsh silicone removers or other strong acids need be applied. The entire debond process takes less than five minutes, including clean-up of the device wafer.

Conclusion

While TB/D materials and equipment continue to evolve in sophistication, broader adoption of this technology and the 3D-IC TSV integration that it enables cannot advance at the expense of simple processing, device yields or total cost of ownership. The emergence of Dow Corning’s simple, bilayer TB/D bonding solution achieves all these goals by eliminating the need for specialized equipment for wafer pre- or post-treatment.

Comprising an adhesive and release layer, the technology has demonstrated excellent spin coating and room temperature bonding performance with low TTV, even for very thick layers up to 110 µm. Proven on commercially available high-volume production equipment, it has shown excellent chemical stability when exposed to phosphoric acid, nitric acid, organic solvents and other chemicals familiar to TSV fabrication. In addition, the bonding solution and paired wafers showed good thermal stability when exposed to the 300° C temperatures common to post-bonding 3D TSV processes. •

ANDREW HO is the Global Industry Director, Advanced Semiconductor Materials, Dow Corning. E-mail: [email protected].