Category Archives: Wafer Level Packaging

Smart technologies take center stage tomorrow as SEMICON West, the flagship U.S. event for connecting the electronics manufacturing supply chain, opens for three days of insights into leading technologies and applications that will power future industry expansion. Building on this year’s record-breaking industry growth, SEMICON West – July 10-12, 2018, at the Moscone Center in San Francisco – spotlights how cognitive learning technologies and other disruptors will transform industries and lives.

Themed BEYOND SMART and presented by SEMI, SEMICON West 2018 features top technologists and industry leaders highlighting the significance of artificial intelligence (AI) and the latest technologies and trends in smart transportation, smart manufacturing, smart medtech, smart data, big data, blockchain and the Internet of Things (IoT).

Seven keynotes and more than 250 subject matter experts will offer insights into critical opportunities and issues across the global microelectronics supply chain. The event also features new Smart Pavilions to showcase interactive technologies for immersive, virtual experiences.

Smart transportation and smart manufacturing pavilions: Applying AI to accelerate capabilities

Automotive leads all new applications in semiconductor growth and is a major demand driver for technologies inrelated segments such as MEMS and sensors. The SEMICON West Smart Transportation and Smart Manufacturing pavilions showcase AI breakthroughs that are enabling more intelligent transportation performance and manufacturing processes, increasing yields and profits, and spurring innovation across the industry.

Smart workforce pavilion: Connecting next-generation talent with the microelectronics industry

SEMICON West also tackles the vital industry issue of how to attract new talent with the skills to deliver future innovations. Reliant on a highly skilled workforce, the industry today faces thousands of job openings, fierce competition for workers and the need to strengthen its talent pipeline. Educational and engaging, the Smart Workforce Pavilion connects the microelectronics industry with college students and entry-level professionals.

In the Workforce Pavilion “Meet the Experts” Theater, recruiters from top companies are available for on-the-spot interviews, while career coaches offer mentoring, tips on cover letter and resume writing, job-search guidance, and more. SEMI will also host High Tech U (HTU) in conjunction with the SEMICON West Smart Workforce Pavilion. The highly interactive program supported by Advantest, Edwards, KLA-Tencor and TEL exposes high school students to STEM education pathways and useful insights about careers in the industry.

Releasing its Mid-Year Forecast at the annual SEMICON West exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 10.8 percent to $62.7 billion in 2018, exceeding the historic high of $56.6 billion set last year. Another record-breaking year for the equipment market is expected in 2019, with 7.7 percent forecast growth to $67.6 billion.

The SEMI Mid-Year Forecast predicts wafer processing equipment will rise 11.7 percent in 2018 to $50.8 billion. The other front-end segment, consisting of fab facilities equipment, wafer manufacturing, and mask/reticle equipment, is expected to jump 12.3 percent to $2.8 billion this year. The assembly and packaging equipment segment is projected to grow 8.0 percent to $4.2 billion in 2018, while semiconductor test equipment is forecast to increase 3.5 percent to $4.9 billion this year.

In 2018, South Korea will remain the largest equipment market for the second year in a row. China will rise in the rankings to claim the second spot for the first time, dislodging Taiwan, which will fall to the third position. All regions tracked except Taiwan will experience growth. China will lead in growth with 43.5 percent, followed by Rest of World (primarily Southeast Asia) at 19.3 percent, Japan at 32.1 percent, Europe at 11.6 percent, North America at 3.8 percent and South Korea at 0.1 percent.

SEMI forecasts that, in 2019, equipment sales in China will surge 46.6 percent to $17.3 billion. In 2019, China, South Korea, and Taiwan are forecast to remain the top three markets, with China rising to the top. South Korea is forecast to become the second largest market at $16.3 billion, while Taiwan is expected to reach $12.3 billion in equipment sales.

The following results are in terms of market size in billions of U.S. dollars:

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Billings Report, which offers an early perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments; and the SEMI Mid-year Forecast, which provides an outlook for the semiconductor equipment market. For more information or to subscribe, please contact SEMI customer service at 1.877.746.7788 (toll free in the U.S.). For more information online, visit: http://info.semi.org/semi-equipment-market-data-subscription

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today unveiled the new SmartView® NT3 aligner, which is available on the company’s industry benchmark GEMINI® FB XT integrated fusion bonding system for high-volume manufacturing (HVM) applications. Developed specifically for fusion and hybrid wafer bonding, the SmartView NT3 aligner provides sub-50-nm wafer-to-wafer alignment accuracy — a 2-3X improvement — as well as significantly higher throughput (up to 20 wafers per hour) compared to the previous-generation platform.

With the new SmartView NT3 aligner, the GEMINI FB XT provides integrated device manufacturers, foundries and outsourced semiconductor assembly and test providers (OSATs) with wafer bonding performance that is unmatched in the industry and can meet their future 3D-IC packaging requirements. Applications enabled by the enhanced GEMINI FB XT include memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning.

The new SmartView® NT3 aligner on EV Group’s GEMINI® FB XT fusion bonder enables a 2-3X improvement in wafer-to-wafer alignment accuracy over EVG’s previous-generation aligner.

Wafer Bonding an Enabling Process for 3D Device Stacking

Vertical stacking of semiconductor devices has become an increasingly viable approach to enabling continuous improvements in device density and performance. Wafer-to-wafer bonding is an essential process step to enable 3D stacked devices. However, tight alignment and overlay accuracy between the wafers is required to achieve good electrical contact between the interconnected devices on the bonded wafers, as well as to minimize the interconnect area at the bond interface so that more space can be made available on the wafer for producing devices. The constant reduction in pitches that are needed to support component roadmaps is fueling tighter wafer-to-wafer bonding specifications with each new product generation.

“At imec, we believe in the power of 3D technology to create new opportunities and possibilities for the semiconductor industry, and we are devoting a great deal of energy into improving it,” stated Eric Beyne, imec fellow and program director 3D system integration. “One area of particular focus is wafer-to-wafer bonding, where we are achieving excellent results in part through our work with industry partners such as EV Group. Last year, we succeeded in reducing the distance between the chip connections, or pitch, in hybrid wafer-to-wafer bonding to 1.4 microns, which is four times smaller than the current standard pitch in the industry. This year we are working to reduce the pitch by at least half again.”

“EVG’s GEMINI FB XT fusion bonding system has consistently led the industry in not only meeting but exceeding performance requirements for advanced packaging applications, with key overlay accuracy milestones achieved with several industry partners within the last year alone,” stated Paul Lindner, executive technology director, EV Group. “With the new SmartView NT3 aligner specifically engineered for the direct bonding market and added to our widely adopted GEMINI FB XT fusion bonder, EVG once again redefines what is possible in wafer bonding — helping the industry to continue to push the envelope in enabling stacked devices with increasing density and performance, lower power consumption and smaller footprint.”

The GEMINI FB XT fusion bonder with new SmartView NT3 aligner is available for customer demonstrations and testing. More information on the product can be found on EVG’s website at https://www.evgroup.com/en/products/bonding/integrated_bonding/geminifb/.

EVG will showcase the GEMINI FB XT with new SmartView NT3 aligner, along with its complete suite of wafer bonding, lithography and resist processing solutions for advanced packaging applications, at SEMICON West, to be held July 10-12 at the Moscone Convention Center in San Francisco, Calif. Attendees interested in learning more can visit EVG at Booth #623 in the South Hall.

In addition, Dr. Thomas Uhrmann, director of business development at EV Group, will highlight the GEMINI FB XT and other developments in wafer bonding in his presentation “Collective Bonding for Heterogeneous Integration in Advanced Packaging” at the Meet the Experts Theater Smart Manufacturing Pavilion at SEMICON West on Thursday, July 12 from 3:00-3:30 p.m. in the South Hall.

Each year at SEMICON West, the “Best of West” awards are presented by Solid State Technology and SEMI. More than 26,000 professionals from the electronics manufacturing supply chain attend SEMICON West and the co-located Intersolar. The “Best of West” award was established to recognize new products moving the industry forward with technological developments in the electronics supply chain.

Selected from over 600 exhibitors, SEMI announced today that the following Best of West 2018 Finalists will be displaying their products on the show floor at Moscone Center from July 10-12:

  • Advantest: T5503HS2 Memory Tester— The T5503HS2 memory tester is the industry’s most productive test solution for the fastest memory devices available today as well as next-generation, super-high-speed DRAMs.  The new system’s flexibility extends the capabilities of the T5503 product family in the current “super cycle,” in which global demand for memories is skyrocketing. (South Hall Booth #1105)
  • BISTel: Dynamic Fault Detection (DFD®) – The DFD system offers full trace data coverage and eliminating the need for timely and costly modeling and set up. DFD® is also a bridge to smart factory manufacturing because it integrates seamlessly to legacy FDC systems meaning customers can access the most comprehensive, and accurate fault detection system on the market. (South Hall Booth 1811)
  • Rudolph Technologies: Dragonfly System with Truebump Technology– Rudolph’s Dragonfly System with Truebump Technology was designed to provide a complete solution for “total bump process control.” Using a unique approach, Truebump Technology combines 2D inspection and measurement information from image-based techniques with 3D data from separate high-precision and high-throughput laser-based techniques to deliver accurate and complete characterization at production-capable throughputs. (North Hall Booth #6170)

Congratulations to each of the Finalists. The Best of West Award winner will be announced during SEMICON West (www.semiconwest.org) on Wednesday, July 11, 2018.

About SEMI

SEMI® connects over 2,000 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics manufacturing. SEMI members are responsible for the innovations in materials, design, equipment, software, devices, and services that enable smarter, faster, more powerful, and more affordable electronic products. FlexTech, the Fab Owners Alliance (FOA) and the MEMS & Sensors Industry Group (MSIG) are SEMI Strategic Association Partners, defined communities within SEMI focused on specific technologies. Since 1970, SEMI has built connections that have helped its members prosper, create new markets, and address common industry challenges together. SEMI maintains offices in Bangalore, Berlin, Brussels, Grenoble, Hsinchu, Seoul, Shanghai, Silicon Valley (Milpitas, Calif.), Singapore, Tokyo, and Washington, D.C.  For more information, visit www.semi.org and follow SEMI on LinkedIn and Twitter.

About Extension Media

Extension Media is a publisher of over 20 business-to-business magazines (including Solid State Technology), resource catalogs, newsletters and web sites that address high-technology industry platforms and emerging technologies such as chip design, embedded systems, software and infrastructure, intellectual property, architectures, operating systems and industry standards. Extension Media publications serve several markets including Electronics, Software/IT and Mobile/Wireless. Extension Media is a privately held company based in San Francisco, Calif. For more information, visit www.extensionmedia.com

FormFactor, Inc. (NASDAQ:FORM), a electrical test and measurement supplier to the semiconductor industry, has extended its Contact Intelligence technology. With Contact Intelligence, FormFactor’s advanced probe systems automatically and autonomously adapt in real time to changes in the testing environment, enabling customers to collect large amounts of RF data faster. As the race to bring 5G devices to market heats up, this addresses the need for higher productivity, to reduce time to market.

FormFactor’s Contact Intelligence technology combines smart hardware design and innovative software algorithms to provide accurate probe-to-pad alignment and electronic recalibrations in engineering labs and many production applications. With the introduction of its new RF solution, FormFactor now has specialized Contact Intelligence applications for RF, DC and Silicon Photonics (SiPh) testing.

FormFactor is best known for it’s probe card business, but with its acquisition of Cascade Microtech in 2016, it became more involved in the design and characterization side of chip-making, including RF and silicon photonic devices (probe cards are primarily used at the end of wafer manufacturing, testing the devices before they are packaged).

Mike Slessor, CEO of FormFactor, said with upcoming infrastructure changes — such as 5G, more mobile communications and IoT — RF is an important place to be. “The Cascade Microtech acquisition gave us an engineering systems business. These are pieces of customized capital equipment that help people very early on in their development and R&D — even early pathfinding — to figure out how their next device is going to perform, to characterize it and to improve its yield,” he said. That systems business grew saw a double digit growth rate last year.

Slessor said the new Contact Intelligence technology is designed to help customers in the systems business get a lot of data faster. He said the push to improve yield, along with new materials and new devices, is driving a tremendous amount of data collection. “What Contact Intelligence really is positioned to do is to help people easily and efficiently collect that data. You can think of it as bringing almost production automation to the engineering lab. We’re helping people do it autonomously over wide ranges of temperatures,” he said. He said it enables engineering tools to be upgraded. Customers can “set it up, push a button and walk away for 48 hours, 96 hours even more and come back and have a hundreds of thousands of individual characterization data points.”

New high frequency ICs, such as 5G (with multiple high frequency bands from sub-6 to more than 70 GHz) and automotive communication devices, need the highest quality process design kits (PDK’s) to ensure working devices at first iteration.

Traditional systems and methods require engineers to invest significant time for recalibration when the system invariably drifts, or to reposition probes with intentional changes in test temperatures. At higher frequencies, calibrations and measurements are more sensitive to probe placement errors and there is more calibration drift, so recalibration is required more often.Over time and temperature, Contact Intelligence automatically makes these adjustments with no operator intervention, resulting in more devices tested in less time, for more accurate PDK’s and faster time to market.

Slessor says the push to 5G brings many design and test challenges due to the significant increase in carrier frequencies – 10 times higher than 4G. “Although there are different bands and the carriers and the countries are still ironing out where they’re going to operate, there are bands as high as 72 gigahertz,” Slessor said. “Electrical signal propagation gets much, much more challenging as you go up in frequency. All kinds of new engineering and physics challenges emerge because you’ve got things that are radiating a good deal of power and there’s a whole bunch of cross talk on the chip. There are all kinds of interesting phenomena that appear that make the designers and the test engineer’s job much more difficult just because of these higher frequencies.”

In an RF front end, instead of modems or radios communicating, a wide variety of a BAW and SAW  filters are used to do the frequency band management and make sure that only the individual bands that are supposed to be used or being effectively used.

In addition to RF, Contact Intelligence is also designed for use in autonomous DC testing and for silicon phototonics.

In DC applications, Contact Intelligence automatically senses preset temperatures, and responds by waiting the correct amount of time until the system is stabilized. This allows lengthy test routines to be conducted over multiple temperatures without an operator present. Contact Intelligence also provides dynamic probe-to-pad alignment, even on pads as small as 25 µm, employing a combination of smart software, probe tip recognition algorithms and advanced programmable positioners.

FormFactor’s integrated SiPh solution allows sub-micron manipulation of optical fibers positioned above the wafer, automatically optimizing fiber coupling position.  Contact Intelligence uses machine vision technology to automate Theta X, Y and Z axis calibrations and alignments enabling measurements out of the box, reducing what used to take days or weeks to a matter of minutes.When combined with autonomous DC and RF, measurement options expand from Optical-Optical to include Photo-Diodes, Optical Modulators and more.

For more information, visit http://www.formfactor.com/contactintelligence.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $37.6 billion for the month of April 2018, an increase of 20.2 percent from the April 2017 total of $31.3 billion and 1.4 percent more than last month’s total of $37.1 billion. Monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a newly released WSTS industry forecast projects annual global market growth of 12.4 percent in 2018 and 4.4 percent in 2019.

“The global semiconductor industry has posted consistently strong sales so far in 2018, and the global market has now experienced year-to-year growth of greater than 20 percent for 13 consecutive months,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Although boosted in part by impressive growth in the memory market, sales of non-memory products also grew by double digits in April on a year-to-year basis, and all major regional markets posted double-digit year-to-year gains. The global market is projected to experience significant annual growth this year, with more modest growth expected next year.”

Regionally, year-to-year sales increased in the Americas (34.1 percent), China (22.1 percent), Europe(21.4 percent), Japan (14.6 percent), and Asia Pacific/All Other (10.2 percent). Compared with last month, sales were up in China (3.2 percent), Japan (2.7 percent), Europe (1.4 percent), and the Americas (0.8 percent), but down slightly in Asia Pacific/All Other (-0.8 percent).

Additionally, SIA today endorsed the WSTS Spring 2018 global semiconductor sales forecast, which projects the industry’s worldwide sales will be $463.4 billion in 2018. This would mark the industry’s highest-ever annual sales, a 12.4 percent increase from the 2017 sales total. WSTS projects year-to-year increases across all regional markets for 2018: the Americas (14.0 percent), Europe (13.4 percent), Asia Pacific (including China) (12.3 percent), and Japan (8.6 percent). In 2019, growth in the semiconductor market is expected to moderate, with sales increases of between 4-5 percent expected across each of the regions. WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

For comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, consider purchasing the WSTS Subscription Package. For information about the global semiconductor industry and market, check out SIA’s free 2018 Factbook.

Apr 2018

Billions

Month-to-Month Sales                              

Market

Last Month

Current Month

% Change

Americas

8.10

8.16

0.8%

Europe

3.58

3.63

1.4%

Japan

3.21

3.30

2.7%

China

11.98

12.36

3.2%

Asia Pacific/All Other

10.23

10.15

-0.8%

Total

37.09

37.59

1.4%

Year-to-Year Sales

Market

Last Year

Current Month

% Change

Americas

6.08

8.16

34.1%

Europe

2.99

3.63

21.4%

Japan

2.88

3.30

14.6%

China

10.12

12.36

22.1%

Asia Pacific/All Other

9.21

10.15

10.2%

Total

31.28

37.59

20.2%

Three-Month-Moving Average Sales

Market

Nov/Dec/Jan

Feb/Mar/Apr

% Change

Americas

8.63

8.16

-5.5%

Europe

3.40

3.63

6.6%

Japan

3.21

3.30

2.8%

China

12.01

12.36

2.9%

Asia Pacific/All Other

10.35

10.15

-1.9%

Total

37.60

37.59

0.0%

IEEE, the world’s largest technical professional organization dedicated to advancing technology for humanity, and the IEEE Electronics Packaging Society (EPS) today announced Dr. William Chen as the recipient of the 2018 IEEE Electronics Packaging Award. The IEEE Electronics Packaging Award and the society’s other annual awards were presented on 31 May in San Diego, California, at the 2018 IEEE Electronic Components and Technology Conference (ECTC), the society’s flagship event.

Dr. Chen is an IEEE Life Fellow and Fellow of ASE Group in Sunnyvale, California. He is a former president of the IEEE Components, Packaging and Manufacturing Technology (CPMT) Society, as which the IEEE EPS was formerly known, and a prominent leader in the packaging community since his early years at IBM. He was recognized for his pioneering contributions to electronic packaging—from research and development through industrialization—and for his leadership in strategic roadmapping efforts in heterogeneous integration. Dr. Chen has been instrumental in the industrialization of game-changing packaging technologies which enabled miniaturization, cost reduction and performance enhancements for today’s pervasive, all-powerful electronic devices. He has been previously recognized for his work in the field and was the recipient of the IEEE CPMT David Feldman Outstanding Contribution Award in 2010.

At the IEEE Electronic Components and Technology Conference, IEEE EPS also honored other packaging leaders and innovators driving the research, design and development of revolutionary electronic microsystem packaging and integration technology:

In addition, Annette Teng of Promex Industries Inc., Gilles Poupon of CEA-LETI in France and Yoshitaka Fukuoka of Worldwide Electronic Integrated Substrate Technology Inc. in Japan received the 2018 IEEE EPS Regional Contributions Awards.

“The electronics industry is experiencing tremendous expansion and revolutionary change, repositioning electronic packaging as a value creator and product differentiator for broad domains of the semiconductor industry. Our members are at the forefront of this transformation, driving innovation in microsystem packaging in key areas such as heterogeneous integration, 3D packaging and the IoT (Internet of Things),” said Avram Bar-Cohen, IEEE EPS president. “This ECTC EPS luncheon showcased their efforts and the outstanding leadership of William Chen, to strengthen and expand the society as the leading global authority on packaging and integration and to help define the future of the electronics industry.”

IEEE EPS (https://eps.ieee.org) represents current and future technologists in electronics packaging, spanning every nuance from earliest-stage research, through design and prototyping, to assembly and manufacturing, and ultimately to ensuring safe and reliable operation. IEEE EPS is also increasingly serving as a focal point for information transfer and collaboration for other IEEE societies, as technologists in those technology areas seek to derive value from microsystem packaging.

Consumer demand and government mandates for electronic systems that improve vehicle performance, that add comfort and convenience, and that warn, detect, and take corrective measures to keep drivers safe and alert are being added to new cars each year. This system growth, along with rising prices for memory components within them, are expected to raise the automotive IC market 18.5% this year to a new record high of $32.3 billion, surpassing the previous record of $27.2 billion set last year (Figure 1), according to IC Insights’ soon to be released Update to the 2018 IC Market Drivers report.  If the forecast holds, it would mark the third consecutive year of double-digit growth for the automotive IC market.

Figure 1

Over the past several years, the global automotive IC market has experienced some extraordinary swings in growth. After increasing 11.5% in 2014, the automotive IC market declined 2.5% in 2015, but then rebounded with solid 10.6% growth in 2016. It is worth noting that the sales decline experienced in 2015 was primarily the result of falling ASPs across all the key automotive IC product categories—microcontrollers, analog ICs, DRAM, flash, and general- and special-purpose logic ICs, which offset steady unit growth for automotive ICs that year.

IC Insights’ recently updated automotive IC market forecast shows the automotive IC market growing to $43.6 billion in 2021, which represents a compound annual growth rate (CAGR) of 12.5% from 2017 to 2021, highest among the six major end-use applications (Figure 2).

Figure 2

Collectively, automotive ICs are forecast to account for only about 7.5% of the total IC market in 2018, although that share is forecast to increase to 9.3% in 2021.  Analog ICs—both general-purpose analog and application-specific automotive analog—are expected to account for 45% of the 2018 automotive IC market, with MCUs capturing 23% share. There are many suppliers of automotive analog devices but a rash of acquisitions among them in recent years has reduced the number of larger manufacturers. Some of the acquisitions that have impacted the automotive analog market include NXP, which acquired Freescale in 2015 and is now itself in the process of being acquired by Qualcomm; Analog Devices, which acquired Linear Technology in March 2017; and Renesas, which acquired Intersil.

Upon the proposal of ST’s new President & CEO Jean-Marc Chery, the Supervisory Board has approved the establishment of a newly formed Executive Committee, entrusted with the management of the Company and led by Mr. Chery as its Chairman.

The other members of ST’s Executive Committee are:

  • Orio Bellezza, President, Technology, Manufacturing and Quality
  • Marco Cassis, President, Sales, Marketing, Communications and
    Strategy Development
  • Claude Dardanne, President, Microcontrollers and Digital ICs Group
  • Lorenzo Grandi, President, Finance, Infrastructure and Services and Chief Financial Officer
  • Marco Monti, President, Automotive and Discrete Group
  • Georges Penalver, President, Human Resources and Corporate Social Responsibility
  • Steven Rose, President, Legal Counsel
  • Benedetto Vigna, President, Analog, MEMS and Sensors Group.

“ST’s new Executive Committee is a team of strong and experienced semiconductor industry leaders. Our first priority is to deliver on our 2018 business and financial objectives and continue on our path of sustainable and profitable growth. Customers choose ST because we are able to bring them innovation in technology and products. We will keep pushing in this direction, with a focus on fast time-to-market and strong execution, to create value for customers and for all of our stakeholders.” said Jean-Marc Chery, President & CEO of STMicroelectronics.

Without any doubt, the advanced packaging industry is on the move. Emerging applications are bringing many new challenges. Packaging experts from all over the world are deeply involved in the development of innovative solutions to answer to the market demand dominated by megatrends. “Megatrend will probably be the key word for the next 10-years within the advanced packaging industry, and more generally in the semiconductor industry”, comments Emilie Jolivet, Semiconductor & Software Division Director at Yole Développement (Yole).“AI , IoT , 5G, mobility, and more… all major applications of our century are today the new drivers of these industries.”

What exactly is the impact of the megatrends on the advanced packaging industry? Could we expect a strong move of the advanced packaging companies: from their traditional activities to innovative services/products to answer to the specificities of the mega market segments? How will the advanced packaging supply chain evolve?

NCAP China, part of JITRI, and Yole today invite you to discover the 4th Advanced Packaging & System Integration Technology Symposium. The 2018 edition takes place in Wuxi, China, on June 20&21. It is a 2-day conference to answer strategic questions and get the opportunity to meet the leaders in the advanced packaging industry. After the last four successful events, Yole Développement & NCAP have decided to continue and strengthen their collaboration to once again provide a powerful program including Panel Level, FO , SiP , advanced substrates, 3D technology. Megatrends will be at the heart of the conference hosted by NCAP CHINA. Advanced packaging is on the move. Don’t miss it!
• Click program & registration to see the schedule, list of speakers, abstracts, and much more.
• The 2018 symposium is sponsored by DIPSOL, ERS, Nordson, SPTS (An Orbotech Company), and SEMSYSCO.

First held in 2014, the Advanced Packaging & System Integration Technology Symposium attracts more than 180 worldwide executive attendees each year. The program, designed by both partners Yole and NCAP China, brings together numerous valuable discussions, short courses, meetings and business collaborations. The list of executive speakers is impressive (Full list of speakers). The 2018 program welcomes two keynotes, among the numerous presentations: 
• Impact of the industry trends on advanced packaging – Jean-Christophe Eloy, President, Yole Group of Companies. Yole Group of Companies includes Yole Développement, System Plus Consulting, KnowMade and PISEO. 
• The industrialization road of innovative Wafer Level Fan-Out technology: eSiFO – Dr. Daquan Yu, VP, Huatian Technology Electronic … And much more. The list of speakers, biographies and abstracts are available on i-micronews.com. To download the PDF version, click Program – Abstracts.

Amongst the numerous sessions of the Advanced Packaging & System Integration Technology, 2018 edition, FOWLP will be deeply covered by a significant list of speakers: Klemens Reitinger, CEO, ERS electronic, Stephen Hiebert, Sr Director of Marketing, KLA-Tencor and David Butler, EVP General Manager, SPTS Technologies. Currently FOWLP is the fastest growing packaging platform and is directly impacted by the megatrends. From mobile to automotive to medical, for both low-end (e.g. audio codecs) and high-end devices (e.g. APU), Yole analyzes daily the technology evolution and market drivers. “Today, cost is still a concern compared to other more mature packaging platforms”, assert Yole’s Sr Analyst, Santosh Kumar (1). Market trends and technical challenges will be detailed in a presentation proposed by Santosh Kumar in the dedicated session. Santosh also recently invited Albert Koller, Head of Advanced Packaging Business Unit at Evatec, to discuss its activities and present its vision of the advanced packaging industry. This interview is available on i-micronews.com, advanced packaging news section.

Dr Cao LiQiang, NCAP’s CEO asserts: “In recent years, many aspects of advanced packaging worldwide remained focused on FI, FO, TSV and WLP… As for China, we have identified major changes to FO technology development and commercialization in the next five years. Potential packaging houses will be established here, in China. NCAP is preparing FI & FO manufacturing mass production, together with our partners of several years…With Yole, NCAP is exploring possible collaborations with worldwide equipment and material suppliers. The symposium is a real opportunity to interact with OSAT and end-users as well. At NCAP, we believe the NCAP- Yole collaboration will positively influence the advanced packaging industry and encourage its development. During the symposium, we especially expect many debates on the numerous challenges facing the domestic packaging materials and equipment market, the 5G requirements, the development of innovative technologies by OSATs and much more.”

The symposium represents an exciting opportunity for advanced packaging companies to expand their activities in China and in all other countries. NCAP and Yole are very enthusiastic about this 2018 edition. Make sure you attend the symposium and book your place immediately on i-micronews.com or click: Registration.
To see the full schedule, please click here: Program.