Category Archives: Wafer Level Packaging

UnitySC, a developer of advanced inspection and metrology solutions, today announced it acquired 100% of the shares of HSEB Dresden, GmbH (HSEB), a supplier in optical inspection, review and metrology for high-value semiconductor applications. Following the acquisition, the new entity’s extended line of leading-edge process control solutions will provide a unique and essential inspection and metrology capability to semiconductor manufacturers. Together, the entity’s offerings span substrate, front-end-of-line (FEOL) manufacturing, wafer-level packaging, 3D ICs and power semiconductors. Further, bringing together the two companies will strengthen worldwide customer support for all platforms.

The combined product portfolio and future common platforms of UnitySC and HSEB will support manufacturing of devices used in mobility, automotive and internet of things applications. Combined, these markets are expected to reach a 14% CAGR, far outpacing the 8% growth forecast of the rest of the semiconductor industry. This will require the expansion and construction of new manufacturing facilities with novel equipment lines.

“Thanks to the proprietary technologies developed by both companies, this strategic acquisition further strengthens our capacity for development and innovation, enabling us to be the preferred partner to meet new customer requirements,” said Patrick Leteurtre, president of UnitySC. “Our product portfolio now spans the spectrum required for substrate control of new FEOL, advanced packaging applications such as fan-out wafer-level packaging, embedded dies and through silicon vias, resulting in a value-added market positioning that will further accelerate our growth.”

The new entity is distinguished by its strong semiconductor legacy and focus on technology development. More than 50% of its 140 employees are dedicated to R&D. Its extensive patent portfolio comprises 46 key patent families related to new semiconductor applications, and the management team is deeply rooted in the semiconductor industry. 

UnitySC and HSEB products are already in service in the top five foundries and the top 10 OSATs, supported by an experienced service team. The acknowledgment of its products as tools-of-record by customers working on next-generation processes has generated a growth rate of more than 50% in a market that generally does not exceed 10% CAGR.

At closing, UnitySC paid an undisclosed fixed price for 100% of the shares of HSEB. Jointly, the two entities achieved a turnover of $20 million in 2017, and recorded $22 million in bookings by the end of February 2018.

The ConFab — an executive invitation-only conference now in its 14th year — brings together influential decision-makers from all parts of the semiconductor supply chain for three days of thought-provoking talks and panel discussions, networking events and select, pre-arranged breakout business meetings.

In the 2018 program, we will take a close look at the new applications driving the semiconductor industry, the technology that will be required at the device and process level to meet new demands, and the kind of strategic collaboration that will be required. It is this combination of business, technology and social interactions that make the conference so unique and so valuable. Browse this slideshow for a look at this year’s speakers, keynotes, panel discussions, and special guests.

Visit The ConFab’s website for a look at the full, three-day agenda for this year’s event.

KEYNOTE: How AI is Driving the New Semiconductor Era

Rama Divakaruni_June_2014presented by Rama Divakaruni, Advanced Process Technology Research Lead, IBM

The exciting results of AI have been fueled by the exponential growth in data, the widespread availability of increased compute power, and advances in algorithms. Continued progress in AI – now in its infancy – will require major innovation across the computing stack, dramatically affecting logic, memory, storage, and communication. Already the influence of AI is apparent at the system-level by trends such as heterogeneous processing with GPUs and accelerators, and memories with very high bandwidth connectivity to the processor. The next stages will involve elements which exploit characteristics that benefit AI workloads, such as reduced precision and in-memory computation. Further in time, analog devices that can combine memory and computation, and thus minimize the latency and energy expenditure of data movement, offer the promise of orders of magnitude power-performance improvements for AI workloads. Thus, the future of AI will depend instrumentally on advances in devices and packaging, which in turn will rely fundamentally on materials innovations.

IC Insights’ latest market, unit, and average selling price forecasts for 33 major IC product segments for 2018 through 2022 is included in the March Update to the 2018 McClean Report (MR18).  The Update also includes an analysis of the major semiconductor suppliers’ capital spending plans for this year.

The biggest adjustments to the original MR18 IC market forecasts were to the memory market; specifically the DRAM and NAND flash segments.  The DRAM and NAND flash memory market growth forecasts for 2018 have been adjusted upward to 37% for DRAM (13% shown in MR18) and 17% for NAND flash (10% shown in MR18).

The big increase in the DRAM market forecast for 2018 is primarily due to a much stronger ASP expected for this year than was originally forecast.  IC Insights now forecasts that the DRAM ASP will register a 36% jump in 2018 as compared to 2017, when the DRAM ASP surged by an amazing 81%.  Moreover, the NAND flash ASP is forecast to increase 10% this year, after jumping by 45% in 2017.  In contrast to strong DRAM and NAND flash ASP increases, 2018 unit volume growth for these product segments is expected to be up only 1% and 6%, respectively.

At $99.6 billion, the DRAM market is forecast to be by far the largest single product category in the IC industry in 2018, exceeding the expected NAND flash market ($62.1 billion) by $37.5 billion.  Figure 1 shows that the DRAM market has provided a significant tailwind or headwind for total worldwide IC market growth in four out of the last five years.

The DRAM market dropped by 8% in 2016, spurred by a 12% decline in ASP, and the DRAM segment became a headwind to worldwide IC market growth that year instead of the tailwind it had been in 2013 and 2014.  As shown, the DRAM market shaved two percentage points off of total IC industry growth in 2016.  In contrast, the DRAM segment boosted total IC market growth last year by nine percentage points. For 2018, the expected five point positive impact of the DRAM market on total IC market growth is forecast to be much less significant than it was in 2017.

Figure 1

Figure 1

Qualcomm Incorporated (NASDAQ: QCOM) received a Presidential Order to immediately and permanently abandon the proposed takeover of Qualcomm by Broadcom Limited (NASDAQ: AVGO). Under the terms of the Presidential Order, all of Broadcom’s director nominees are also disqualified from standing for election as directors of Qualcomm.

Qualcomm was also ordered to reconvene its 2018 Annual Meeting of Stockholders on the earliest possible date, which based on the required 10-day notice period, is March 23, 2018. Stockholders of record on January 8, 2018 will be entitled to vote at the meeting.

Broadcom’s official statement after receiving the order was to strongly disagree that its proposed acquisition of Qualcomm raises any national security concerns.

“This should be viewed as a very positive event not only for Qualcomm but also for the market as a whole,” said Stuart Carlaw, Chief Research Officer at ABI Research. “The combined entity would have had dangerously dominant positions in some core markets such as location technologies, Wi-Fi, Bluetooth, RF hardware and automotive semiconductors. A diverse supplier ecosystem will be key to supporting the IoT as well as vertical market developments such as smart mobility and smart manufacturing.”

The Presidential Order is available at: https://www.whitehouse.gov/presidential-actions/presidential-order-regarding-proposed-takeover-qualcomm-incorporated-broadcom-limited/.

 

The latest update to the SEMI World Fab Forecast report, published on February 28, 2018, reveals fab equipment spending will increase at 5 percent in 2019 for a remarkable fourth consecutive year of growth as shown in figure 1. China is expected to be the main driver of fab equipment spending growth in 2018 and 2019 absent a major change in its plans. The industry had not seen three consecutive years of growth since the mid-1990s.

Figure 1

Figure 1

SEMI predicts Samsung will lead in fab equipment spending both in 2018 and 2019, with Samsung investing less each year than in 2017.  By contrast, China will dramatically increase year-over-year fab equipment spending by 57 percent in 2018 and 60 percent in 2019 to support fab projects from both multinationals and domestic companies. The China spending surge is forecast to accelerate it past Korea as the top spending region in 2019.

After record investments in 2017, Korea fab equipment spending will decline 9 percent, to US$18 billion, in 2018 and an additional 14 percent, to US$16 billion, in 2019. However both years will outpace pre-2017 spending levels for the region. Fab equipment spending in Taiwan, the third-largest region for fab investments, will fall 10 percent to about US$10 billion in 2018, but is forecast to rebound 15 percent to over US$11 billion in 2019. (Details about other regions’ spending trends are available in SEMI’s latest World Fab Forecast.)

As expected, China’s fab equipment spending is increasing as projects shift to equipment fabs constructed earlier in this cycle.  The record 26 volume fabs that started construction in China in 2017 will begin equipping this year and next.  See figure 2.

Figure 2

Figure 2

Non-Chinese companies account for the largest share of fab equipment investment in China. However, Chinese-owned companies are expected to ramp up fabs in 2019, increasing their share of spending in China from 33 percent in 2017 to 45 percent in 2019.

Product Sector Spending

3D NAND will lead product sector spending, growing 3 percent each in 2018 and 2019, to US$16 billion and US$17 billion, respectively. DRAM will see robust growth of 26 percent in 2018, to US$14 billion, but is expected to decline 14 percent to US$12 billion in 2019.  Foundries will increase equipment spending by 2 percent to US$17 billion in 2018 and by 26 percent to US$22 billion in 2019, primarily to support 7nm investments and ramp of new capacity.

KLA-Tencor Corporation (NASDAQ: KLAC) has been recognized by Intel as a recipient of a 2017 Preferred Quality Supplier (PQS) award. The PQS award recognizes companies like KLA-Tencor that Intel believes have relentlessly pursued excellence and conducted business with resolute professionalism.

“The dynamic nature of our business necessitates continuous improvement and an unrelenting focus on quality,” said Jacklyn Sturm, Vice President of Technology and Manufacturing Group and General Manager of Global Supply Management at Intel. “As Intel transitions to become a more data centric company, our award winning suppliers are embracing the most difficult challenges with rapid innovation and bold strategies.”

To qualify for PQS status, suppliers must exceed high expectations and uncompromising performance goals while scoring at least 80 percent on an integrated report card that assesses performance throughout the year. Suppliers must also achieve 80 percent or greater on a challenging continuous improvement plan and demonstrate solid quality and business systems.

Historically, the DRAM market has been the most volatile of the major IC product segments.  A good example of this was displayed over the past two years when the DRAM market declined 8% in 2016 only to surge by 77% in 2017! The March Update to the 2018 McClean Report (to be released later this month) will fully detail IC Insights’ latest forecast for the 2018 DRAM and total IC markets.

In the 34-year period from 1978-2012, the DRAM price-per-bit declined by an average annual rate of 33%. However, from 2012 through 2017, the average DRAM price-per-bit decline was only 3% per year! Moreover, the 47% full-year 2017 jump in the price-per-bit of DRAM was the largest annual increase since 1978, surpassing the previous high of 45% registered 30 years ago in 1988!

In 2017, DRAM bit volume growth was 20%, half the 40% rate of increase registered in 2016.  For 2018, each of the three major DRAM producers (e.g., Samsung, SK Hynix, and Micron) have stated that they expect DRAM bit volume growth to once again be about 20%.  However, as shown in Figure 1, monthly year-over-year DRAM bit volume growth averaged only 13% over the nine-month period of May 2017 through January 2018.

Figure 1 also plots the monthly price-per-Gb of DRAM from January of 2017 through January of 2018.  As shown, the DRAM price-per-Gb has been on a steep rise, with prices being 47% higher in January 2018 as compared to one year earlier in January 2017.  There is little doubt that electronic system manufacturers are currently scrambling to adjust and adapt to the skyrocketing cost of memory.

DRAM is usually considered a commodity like oil.  Like most commodities, there is elasticity of demand associated with the product.  For example, when oil prices are low, many consumers purchase big SUVs, with little concern for the vehicle’s miles-per-gallon efficiency.  However, when oil prices are high, consumers typically look toward smaller or alternative energy (e.g., hybrid or fully electric) options.

Figure 1

Figure 1

While difficult to precisely measure, it is IC Insights’ opinion that DRAM bit volume usage is also affected by elasticity, whereby increased costs inhibit demand and lower costs expand usage and open up new applications.  As shown in Figure 1, the correlation coefficient between the DRAM price-per-bit and the year-over-year bit volume increase from January 2017 through January 2018 was a strong -0.88 (a perfect correlation between two factors moving in the opposite direction would be -1.0).  Thus, while system manufacturers are not scaling back DRAM usage in systems currently shipping, there have been numerous rumors of some smartphone producers scaling back DRAM in next-generation models (i.e., incorporating 4GB of DRAM per smartphone instead of 5GB).

In 2018, IC Insights believes that the major DRAM suppliers will be walking a fine line between making their shareholders even happier than they are right now and further alienating their customer base.  If, and it is a BIG if, the startup Chinese DRAM producers can field a competitive product over the next couple of years, DRAM users could flock to these new suppliers in an attempt to get out from under the crushing price increases now being thrust upon them—with the “payback” to the current major DRAM suppliers being severe.

Presto Engineering Inc., an outsourced operations provider to semiconductor and Internet of Things (IoT) device manufacturers, and Maja Systems, a designer of millimeter wave (mmWave) connectivity and sensing solutions, jointly announce their successful collaboration in comprehensive wafer-level ATE for the Maja AirData family of terabit connectivity and data transport solutions.

“Presto’s special expertise in high-volume mmWave RF test was essential in bringing terabit connectivity to the market efficiently,” said Joy Laskar, CTO and SVP of Maja Systems. “They were able to develop a solution that provides reliable testing at the speeds and costs we need, and in a time frame that let us hit our market window.”

“Scalable high-volume, high-frequency RF test solutions, like this one for Maja, will be critical for the industry to achieve the billion plus annual unit volumes projected for mmWave devices by 2020,” said Michel Villemain, CEO, Presto Engineering. “We have developed custom solutions that allow us to use existing ATE, that have already been proven at volumes exceeding millions of units per year and can scale to meet projected demand.”

The Maja AirData™ family of terabit connectivity solutions, based on the MW-6022 single-chip mmWave CMOS transceiver IC and the SPL-100 compact SMT mmWave antenna, solve the terabit wireless data transport problem, addressing data center, wireless, mmWave, and optical transport applications.

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2018.

Enabling the AI Era with Materials Engineering

Screen Shot 2018-03-05 at 12.24.49 PMPrabu Raja, Senior Vice President, Semiconductor Products Group, Applied Materials

A broad set of emerging market trends such as IoT, Big Data, Industry 4.0, VR/AR/MR, and autonomous vehicles is accelerating the transformative era of Artificial Intelligence (AI). AI, when employed in the cloud and in the edge, will usher in the age of “Smart Everything” from automobiles, to planes, factories, buildings, and our homes, bringing fundamental changes to the way we live

Semiconductors and semiconductor processing technol- ogies will play a key enabling role in the AI revolution. The increasing need for greater computing perfor- mance to handle Deep Learning/Machine Learning workloads requires new processor architectures beyond traditional CPUs, such as GPUs, FPGAs and TPUs, along with new packaging solutions that employ high-density DRAM for higher memory bandwidth and reduced latency. Edge AI computing will require processors that balance the performance and power equation given their dependency on battery life. The exploding demand for data storage is driving adoption of 3D NAND SSDs in cloud servers with the roadmap for continued storage density increase every year.

In 2018, we will see the volume ramp of 10nm/7nm devices in Logic/Foundry to address the higher performance needs. Interconnect and patterning areas present a myriad of challenges best addressed by new materials and materials engineering technologies. In Inter- connect, cobalt is being used as a copper replacement metal in the lower level wiring layers to address the ever growing resistance problem. The introduction of Cobalt constitutes the biggest material change in the back-end-of-line in the past 15 years. In addition to its role as the conductor metal, cobalt serves two other critical functions – as a metal capping film for electro- migration control and as a seed layer for enhancing gapfill inside the narrow vias and trenches.

In patterning, spacer-based double patterning and quad patterning approaches are enabling the continued shrink of device features. These schemes require advanced precision deposition and etch technologies for reduced variability and greater pattern fidelity. Besides conventional Etch, new selective materials removal technologies are being increasingly adopted for their unique capabilities to deliver damage- and residue-free extreme selective processing. New e-beam inspection and metrology capabilities are also needed to analyze the fine pitch patterned structures. Looking ahead to the 5nm and 3nm nodes, placement or layer-to-layer vertical alignment of features will become a major industry challenge that can be primarily solved through materials engineering and self-aligned structures. EUV lithography is on the horizon for industry adoption in 2019 and beyond, and we expect 20 percent of layers to make the migration to EUV while the remaining 80 percent will use spacer multi- patterning approaches. EUV patterning also requires new materials in hardmasks/underlayer films and new etch solutions for line-edge-roughness problems.

Packaging is a key enabler for AI performance and is poised for strong growth in the coming years. Stacking DRAM chips together in a 3D TSV scheme helps bring High Bandwidth Memory (HBM) to market; these chips are further packaged with the GPU in a 2.5D interposer design to bring compute and memory together for a big increase in performance.

In 2018, we expect DRAM chipmakers to continue their device scaling to the 1Xnm node for volume production. We also see adoption of higher perfor- mance logic technologies on the horizon for the periphery transistors to enable advanced perfor- mance at lower power.

3D NAND manufacturers continue to pursue multiple approaches for vertical scaling, including more pairs, multi-tiers or new schemes such as CMOS under array for increased storage density. The industry migration from 64 pairs to 96 pairs is expected in 2018. Etch (high aspect ratio), dielectric films (for gate stacks and hardmasks) along with integrated etch and CVD solutions (for high aspect ratio processing) will be critical enabling technologies.

In summary, we see incredible inflections in new processor architectures, next-generation devices, and packaging schemes to enable the AI era. New materials and materials engineering solutions are at the very heart of it and will play a critical role across all device segments.

BY AJIT MANOCHA, President and CEO of SEMI

2017 was a terrific year for SEMI members. Chip revenues closed at nearly $440B, an impressive 22 percent year- over-year growth. The equipment industry surpassed revenue levels last reached in the year 2000. Semicon- ductor equipment posted sales of nearly $56B and semiconductor materials $48B in 2017. For semiconductor equipment, this was a giant 36 percent year-over-year growth. Samsung, alone, invested $26B in semiconductor CapEx in 2017 – an incredible single year spend in an incredible year.

MEMS and Sensors gained new growth in telecom and medical markets, adding to existing demand from automotive, industrial and consumer segments. MEMS is forecast to be a $19B industry in 2018. Flexible hybrid electronics (FHE) is also experiencing significant product design and functionality growth with increasing gains in widespread adoption.

No longer isa single monolithic demand driver propelling the electronics manufacturing supply chain. The rapidly expanding digital economy continues to foster innovation with new demand from the IoT, virtual and augmented reality (VR/AR), automobile infotainment and driver assistance, artificial intelligence (AI) and Big Data, among others. With the explosion in data usage, memory demand is nearly insatiable, holding memory device ASPs high and prompting continued heavy investment in new capacity.

2018 is forecast to be another terrific year. IC revenues are expected to increase another 8 percent and semiconductor equipment will grow 11 percent. With diverse digital economy demand continuing, additional manufacturing capacity is being added in China as fab projects come on line to develop and increase the indigenous semiconductor supply chain.

So, why worry?

The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Can the industry sustain this growth? The electronics manufacturing supply chain has demonstrated it can generally scale and expedite production to meet the massive new investment projects. The cracks starting to show are in the areas of talent, data management, and Environment, Health, and Safety (EH&S).

Talent has become a pinch point. In Silicon Valley alone, SEMI member companies have thousands of open positions. Globally, there are more than 10,000 open jobs. Attracting new candidates and developing a global workforce are critical to sustaining the pace of innovation and growth.
Data management and effective data sharing are keys to solving problems faster and making practical novel but immature processes at the leading edge. It is ironic that other industries are ahead of semiconductor manufac- turing in harnessing manufacturing data and leveraging AI across their supply chains. Without collaborative Smart Data approaches, there is jeopardy of decreasing the cadence of Moore’s Law below the 10 nm node.

EH&S is critical for an industry that now uses the majority of the elements of the periodic table to make chips – at rates of more than 50,000 wafer starts per month (wspm) for a single fab. The industry came together strongly in the 1990s to develop SEMI Safety Standards and compliance methodologies. Since then, the number of EH&S profes- sionals engaged in our industry has declined while the number of new materials has exploded, new processing techniques have been developed, and manufacturing is expanding across China in areas with no prior semicon- ductor manufacturing experience.

HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field.

To ensure we don’t slow growth, the industry will need to work together in 2018 in these three key areas:

Talent development needs to rapidly accelerate by expanding currently working programs and adding additional means to fill the talent funnel. The SEMI Foundation’s High Tech University (HTU) works globally with member companies to increase the number of high school students selecting Science, Technology, Engineering, and Math (STEM) fields – and provides orientation to the semiconductor manufacturing industry. HTU has been a very effective program with over 218 sessions run to date, over 7,000 students engaged, and over 70 percent of respondents pursuing careers in the STEM field. SEMI will increase the number of HTU sessions in 2018.

Plans have already been approved by SEMI’s Board of Directors to work together with SEMI’s membership to leverage existing, and pioneer new, workforce development programs to attract and develop qualified candidates from across the age and experience spectrum (high school through university, diversity, etc.). Additionally, an industry awareness campaign will be developed and launched to make more potential candidates attracted to our member companies as a great career choice. I’ll be providing you with updates on this initiative – and asking for your involvement
– throughout 2018.

Data management is a broad term. Big Data, machine learning, AI are terms that today mean different things to different people in our supply chain. What is clear is that to act together and take advantage of the unimaginable amounts of data being generating to produce materials and make semiconductor devices with the diverse equipment sets across our fabs, we need a common understanding of the data and potential use of the data.

In 2018, SEMI will launch a Smart Data vertical application platform to engage stakeholders along the supply chain to produce a common language, develop Standards, and align expectations for sharing data for mutual benefit. Bench- marking of other industries and pre-competitive pilot programs are being proposed to learn and, here too, we need the support and engagement of thought leaders throughout SEMI’s membership.

EH&S activity must intensify to maintain safe operations and to eliminate business interruptions from supply chain disruptions. There is potential for disruptions from material bans such as the Stockholm Convention action on PFOA and arising from the much wider range of chemicals and materials being used in advanced manufacturing. Being able to reliably identify these in time to guide and coordinate industry action will take a reinvigorated SEMI EH&S stewardship and membership engagement.

As China rapidly develops new fabs in many provinces – some with only limited prior experience and infrastructure – SEMI EH&S Standards orientation and training will accelerate the safe and sustainable operation of fabs, enabling them to keep pace with the ambitious growth trajectory our industry is delivering. In 2018, we’ll be looking for a renewed commitment to EH&S and sustainability for the budding challenges of new materials, methods, and emerging regions.

Remarkable results from a remarkable membership

Thank you all for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.

In a quick review of 2017, I would like to thank SEMI’s members for their incredible results and new revenue records. Foundational to that, SEMI’s members have worked together with SEMI to connect, collaborate, and innovate to increase growth and prosperity for the industry. These founda- tional contributions have been in expositions, programs, Standards, market data, messaging (communications), and workforce development (with HTU).

The infographic below captures these foundational accom- plishments altogether. SEMI strives to speed the time to better business results for its members across the global electronics manufacturing supply chain. To do so, SEMI is dependent upon, and grateful for, the support and volunteer efforts of its membership. Thank you for a terrific 2017 and let’s work together on the key initiatives to ensure that our industry’s growth and prosperity will continue in 2018 and beyond.