Category Archives: Wafer Processing

Semtech Corporation (Nasdaq: SMTC), a supplier of high performance analog and mixed-signal semiconductors and advanced algorithms, announced that EasyLinkin, a high-tech enterprise specializing in the research and development of low power wide area network (LPWAN) technologies, has incorporated Semtech’s LoRa® devices and wireless radio frequency technology (LoRa Technology) into its IoT smart metering solutions to improve facility management.

LoRa-enabled smart meters from EasyLinkin monitor utility usage rates in real-time to provide facilities more visibility to reduce operating costs. EasyLinkin’s LoRa-based products are easy to install on existing meters and are currently being deployed across China in both public and private LoRaWAN™ networks. Utility companies are able to monitor utility usage in real-time to reduce operational costs and conserve natural resources.

“Our customers are able to analyze their usage through real-time data collected by our smart metering solutions to reduce operational costs,” said Kun Xu, Co-Founder & Executive President at EasyLinkin. “This was enabled and would not be possible without Semtech’s LoRa Technology, which provides the ideal IoT solution for utility monitoring and management. The easy deployment and flexibility of LoRa Technology enables consistent data transmission in either a private or public network.”

“With an increased emphasis on sustainability, there’s an absolute need for IoT solutions, like Semtech’s LoRa Technology, to solve real-world environmental challenges,” said Vivek Mohan, Director of IoT, Semtech’s Wireless and Sensing Products Group. “Integrating LoRa Technology into EasyLinkin’s metering devices provides an IoT solution that reduces operational costs like maintenance and allows an inside look into utility consumption, letting consumers change their usage accordingly.”

About Semtech’s LoRa® Devices and Wireless RF Technology

Semtech’s LoRa devices and wireless radio frequency technology is a widely adopted long-range, low-power solution for IoT that gives telecom companies, IoT application makers and system integrators the feature set necessary to deploy low-cost, interoperable IoT networks, gateways, sensors, module products, and IoT services worldwide. IoT networks based on the LoRaWAN™ specification have been deployed in over 100 countries and Semtech is a founding member of the LoRa Alliance™, the fastest growing IoT Alliance for Low Power Wide Area Network applications. To learn more about how LoRa enables IoT, visit Semtech’s LoRa site and join the LoRa Community to access free training as well as an online industry catalog showcasing the products you need for building your ideal IoT application.

A team of researchers led by the University of Minnesota has developed a new material that could potentially improve the efficiency of computer processing and memory. The researchers have filed a patent on the material with support from the Semiconductor Research Corporation, and people in the semiconductor industry have already requested samples of the material.

The findings are published in Nature Materials, a peer-reviewed scientific journal published by Nature Publishing Group.

This cross-sectional transmission electron microscope image shows a sample used for the charge-to-spin conversion experiment. The nano-sized grains of less than 6 nanometers in the sputtered topological insulator layer created new physical properties for the material that changed the behavior of the electrons in the material. Credit: Wang Group, University of Minnesota

“We used a quantum material that has attracted a lot of attention by the semiconductor industry in the past few years, but created it in unique way that resulted in a material with new physical and spin-electronic properties that could greatly improve computing and memory efficiency,” said lead researcher Jian-Ping Wang, a University of Minnesota Distinguished McKnight Professor and Robert F. Hartmann Chair in electrical engineering.

The new material is in a class of materials called “topological insulators,” which have been studied recently by physics and materials research communities and the semiconductor industry because of their unique spin-electronic transport and magnetic properties. Topological insulators are usually created using a single crystal growth process. Another common fabrication technique uses a process called Molecular Beam Epitaxy in which crystals are grown in a thin film. Both of these techniques cannot be easily scaled up for use in the semiconductor industry.

In this study, researchers started with bismuth selenide (Bi2Se3), a compound of bismuth and selenium. They then used a thin film deposition technique called “sputtering,” which is driven by the momentum exchange between the ions and atoms in the target materials due to collisions. While the sputtering technique is common in the semiconductor industry, this is the first time it has been used to create a topological insulator material that could be scaled up for semiconductor and magnetic industry applications.

However, the fact that the sputtering technique worked was not the most surprising part of the experiment. The nano-sized grains of less than 6 nanometers in the sputtered topological insulator layer created new physical properties for the material that changed the behavior of the electrons in the material. After testing the new material, the researchers found it to be 18 times more efficient in computing processing and memory compared to current materials.

“As the size of the grains decreased, we experienced what we call ‘quantum confinement’ in which the electrons in the material act differently giving us more control over the electron behavior,” said study co-author Tony Low, a University of Minnesota assistant professor of electrical and computer engineering.

Researchers studied the material using the University of Minnesota’s unique high-resolution transmission electron microscopy (TEM), a microscopy technique in which a beam of electrons is transmitted through a specimen to form an image.

“Using our advanced aberration-corrected scanning TEM we managed to identify those nano-sized grains and their interfaces in the film,” said Andre Mkhoyan, a University of Minnesota associate professor of chemical engineering and materials science and electron microscopy expert.

Researchers say this is only the beginning and that this discovery could open the door to more advances in the semiconductor industry as well as related industries, such as magnetic random access memory (MRAM) technology.

“With the new physics of these materials could come many new applications,” said Mahendra DC (Dangi Chhetri), first author of the paper and a physics Ph.D. student in Professor Wang’s lab.

Wang agrees that this cutting-edge research could make a big impact.

“Using the sputtering process to fabricate a quantum material like a bismuth-selenide-based topological insulator is against the intuitive instincts of all researchers in the field and actually is not supported by any existing theory,” Wang said. “Four years ago, with a strong support from Semiconductor Research Corporation and the Defense Advanced Research Projects Agency, we started with a big idea to search for a practical pathway to grow and apply the topological insulator material for future computing and memory devices. Our surprising experimental discovery led to a new theory for topological insulator materials.

“Research is all about being patient and collaborating with team members. This time there was a big pay off,” Wang said.

Sanan Integrated Circuit Co., a pure-play compound semiconductor foundry, today announces its entry into the North American, European, and Asia Pacific (APAC) markets with their advanced III-V technology platform. With their broad portfolio of gallium arsenide (GaAs) HBT, pHEMT, BiHEMT, integrated passive device (IPD), filters, gallium nitride (GaN) power HEMT, silicon carbide (SiC), and indium phosphide (InP) DHBT process technologies, they cover a wide range of applications among today’s active microelectronics and photonics markets. Sanan IC is strongly focused on high performance, large scale, and high quality III-V semiconductor manufacturing and on serving the RF, millimeter wave, power electronics, and optical markets.

Founded in 2014, headquartered in Xiamen City, in the Fujian province of south China, Sanan IC is subsidiary of Sanan Optoelectronics Co., Ltd., the leading LED chip manufacturing company, based on GaN and GaAs technologies. Leveraging high volume production and years of investment in numerous epitaxial wafer reactors of its parent company for the LED lighting and solar photovoltaic markets, Sanan IC is expanding their go-to-market strategy beyond the Greater China region as their process technologies and patent portfolio mature, with a vision to fulfill the needs of independent design manufacturers (IDM’s) and fabless design houses for high volume compound semiconductor fabrication.

“We see tremendous opportunity in serving the world-wide demand for large scale production of 6-inch III-V epitaxial wafers, driven by continual growth of the RF, millimeter wave, power electronics, and optical markets,” said Raymond Cai, Chief Executive Officer of Sanan IC. “Our vertically integrated manufacturing services over our broad compound semiconductor technology platform, with in-house epitaxy and substrate capabilities, make us an ideal foundry partner. Given the capital investments made on state-of-the art equipment and facilities, with full support from our parent company, Sanan Optoelectronics, combined with strategic partnerships, and a world-class team of scientists and technologists, Sanan IC is well positioned for success in this active compound semiconductor market”.

As cellular mobility and wireless connectivity proliferates in the Internet-of-Things (IoT), and 5G sub-6GHz evolves into millimeter wave, III-V technologies become even more critical to support the infrastructure and client device deployments by carriers worldwide. According to Yole Développement (Yole), a leading technology market research firm, part of Yole Group of Companies, the GaAs wafer market, comprised of RF, photonics, photovoltaics, and LEDs, is expected to grow to over 4 million units in 2023, with photonics having the highest growth at 37% CAGR1. GaN and SiC for power electronics, such as for data centers, electric vehicles (EVs), battery chargers, power supplies, LiDAR, and audio, are predicted to ramp up, with GaN reaching up to $460M shipments by 2022 with a CAGR of 79%2 while SiC projects to reach $1.4B at 29% CAGR by 20233. Optical components continue to be in high demand for datacom, telecom, consumer, automotive and industrial markets, leading to increased revenues for photodectors, laser diodes, and especially VCSELs with expected shipments of $3.5B in 20234. As these applications emerge, Sanan IC is poised to support the industry’s needs.

Sources:
1GaAs Wafer & Epiwafer Market: RF, Photonics, LED & PV Applications Report, Yole Développement (Yole), 2018
2,3Power SiC 2018: Materials, Devices and Applications Report, Yole Développement (Yole), 2018
4Source: VCSELs – Technology, Industry & Market Trends report, Yole Développement (Yole), 2018

SiFive, a provider of commercial RISC-V processor IP, today announced that ASIC Design Services, a design house, IP provider, and a distributor for FPGA and EDA software, has joined the DesignShare ecosystem. Through this partnership, ASIC Design Services will provide its Core Deep Learning (CDL) technology that accelerates Convolutional Neural Networks (CNNs) on power-constrained embedded hardware platforms.

ASIC Design Services’ CDL technology optimizes its CNN accelerator FPGA core for performance, logic resources, and low power – making CDL suitable for IoT edge and node applications. The CDL Coldbrew software stack performs quantization and compression of CNNs, design space exploration, and generates a solution optimized for performance, resources, and low power. Coldbrew is built on the Caffe deep learning framework, and provides a simple user interface to bridge the gap between high-level CNN specification and FPGA design.

“We are excited about the increased performance and energy efficiency offered by FPGAs,” said Tony Dal Maso, CEO of ASIC Design Services. “Today, we can achieve 100 Gops/s/Watt on a low-power FPGA solution. By partnering with SiFive we enable the global community of embedded designers to accelerate deep learning solutions on embedded platforms.”

The availability of ASIC Design Services’ CDL IP through the DesignShare program shortens the time to market and removes common barriers to entry that have traditionally prevented smaller companies from developing custom silicon. Companies like SiFive, ASIC Design Services and other DesignShare partners provide low- or no-cost IP to emerging companies, minimizing the upfront engineering costs needed to bring a custom chip from design to realization.

“Adding artificial intelligence and neural networks to edge devices is increasingly in demand,” said Shafy Eltoukhy, vice president of operations and head of DesignShare for SiFive. “With ASIC Design Services addition to the DesignShare ecosystem, we continue to expand the range of IP available to designers looking to bring prototype devices to life.”

Since DesignShare launched in 2017, the program has grown to include a wide range of IP solutions, from complete ASIC solutions and trace technology to embedded memory and precision PLL. For more information on DesignShare and to see the complete list of available technologies, visit www.sifive.com/designshare.

TowerJazz, the global specialty foundry, today announced its participation at the 44th European Conference on Optical Communication (ECOC) being held in Rome, Italy on September 23-27, 2018. The Company will showcase its advanced SiGe (Silicon Germanium) process, with speeds in excess of 300GHz, and its newest production SiPho (Silicon Photonics) process built into data center high-speed optical data links.

TowerJazz has a significant foundry share of the 100Gb/s transceiver market served by its SiGe Terabit Platform and will showcase even higher SiGe transistor speeds and patented features appropriate for 200 and 400Gb/s communication ICs such as  transimpedance amplifiers (TIAs), laser and modulator drivers, and clock and data recovery circuits.

TowerJazz’s SiPho production platform enables high bandwidth photo diodes, together with waveguides and modulators, with a roadmap to allow InP components on the same die and permit a high-level of optical integration for next-generation data center optical links.  An open design kit is available to all customers and supported by prototyping and shuttle runs.

To set up a meeting or see a demo with TowerJazz technical experts at the TowerJazz ECOC booth (#569), or for more information, please click here or inquire at: [email protected].

In the last few years, biggies in the Vacuum Pump Market have set different business goals to attain a dominant market position. Their approach toward improving their current stance has been remarkably influencing the quality and design performance of vacuum pumps, which has positively impacted the shelf life and cost-effectiveness of the products. The optimized approach of players toward new product developments and business expansions is certainly poised to push vacuum pump market size. Some of the recent instances witnessed across vacuum pump market that are likely to etch a positive growth path for this industry are described below.

How Leybold, Atlas Copco, and Edwards combinedly contributed toward vacuum pump market expansion

Of late, it has been observed that reliability and cleanliness are becoming highly important in most of the production processes. Having recognized that efficient vacuum technology development could fulfill these industrial requirements, a few days before, Leybold, a subsidiary of the Atlas Copco Group, unveiled an oil-free vacuum pump with two variants of speed, which are designed to be useful in dusty and moist processes. Through in-depth R&D, the product manufacturers have successfully reduced the operating noise and maintenance cost associated with the Oil-Free VARODRY Vacuum Pump. In addition, the compact design helps users to integrate this product into existing systems very easily.

Speaking more about this product launch, the speed variants have made it ideal for industrial vacuum requirement with low investment and operating costs. This innovative product prevents oil leaks and particle emissions in a vacuum chamber, which will turn out to be a tremendous help to speed up industrial processes. It is thus rather overt, that with the launch of this maintenance-free and robust pump, Leybold has set a new benchmark ahead for the giants in vacuum pump market.

Prior to this launch, the parent company of Leybold, Atlas Copco unveiled its new product – a multiple dry claw vacuum pump system which is ideally suited for the industries operating in dry and hot working environments. This newly developed vacuum pump aims to provide high energy efficiency and better operational performance. The future deployment of this product for performing numerous dry pumping applications comprising pneumatic pumping, packaging lines, and drying processes is certain to fuel vacuum pump market trends over the years ahead.

With the development of a next-generation oil sealed rotary vane vacuum pump, the UK headquartered vacuum engineering company, Edwards had aimed to expand its customer base. This subsidiary of Atlas Copco designed a safe, stable, and compact size vacuum pump which could be suitable for applications in explosive environments especially in chemical processing industries. While developing this variant of vacuum pump, the designers of Edwards focused on customary requirements mainly across the U.S. and European belts. Post the launch, analysts deem that this approach could help Edwards considerably extend its customer base across North America and Europe. In addition, the deployment of these new products across the chemical, automotive, degassing, and pharmaceutical sectors has helped giants in vacuum pump market to extend their application scope across most of the industries.

It is rather overt that with the launch of a novel pumping system portfolio, core companies are looking forward to achieving competitive benefits ahead. The increasing need of highly efficient and environment-friendly pumping systems is considerably encouraging giants in vacuum pump market to carry out intensive research programs as well. The recent R&D outcomes such as improved lifecycle and cost-effectiveness will prove to be game-changing for the biggies in vacuum pump market, which is predicted to generate a revenue of over USD 6.5 billion by the end of 2025.

  • Key Industry participants for Vacuum Pump Market are –
  • Atlas Copco
  • Pfeiffer Vacuum Technology AG
  • Gardner Denver
  • Agilent Technologies Inc.
  • ULVAC Inc.
  • Ebara Corporation
  • Leybold GmbH
  • Busch Vacuum Pumps and Systems
  • Shimadzu Corporation
  • Kashiyama Industries Ltd.
  • KNF Neuberger GmbH
  • Gast Manufacturing Inc.
  • Becker GmbH
  • DEKKER Vacuum Technologies, Inc.
  • PPI Pumps Pvt. Ltd.

Powered by a widespread application scope and ongoing technological advancements, vacuum pump market trends have undergone a tremendous transformation since the last few years. The extensive involvement of industry players in research and development activities has been paving the way for remarkable breakthroughs in futuristic vacuum technology requirements. Having recognized the significance of frequent product launchesvacuum pump market contenders have been focusing lately on the development of customized solutions to strengthen their customer base.

Speaking of advancements in vacuum technology, the end-users across myriad sectors ranging from solar manufacturing to scientific instrumentation and flat panel display to semiconductors have been going the whole hog to tap the benefits of modern vacuum mechanisms. The subsequent deployment of modern vacuum pumps for pumping services across numerous industrial applications is thus poised to boost vacuum pump market share.

Browse key industry insights report, “Vacuum Pump Market Size By Lubrication (Dry, Wet), By Technology (Gas Capture/Binding Pumps, Gas Transfer Pumps [Positive Displacement Pumps, Kinetic Pumps]), By Product (Low Vacuum, Medium Vacuum, High Vacuum), By End-user (Chemical & Pharmaceutical, Semiconductor & Electronics, Oil & Gas, Food & Beverages, Wood, Paper & Pulp), Industry Analysis Report, Regional Outlook (U.S., Canada, Germany, UK, France, Spain, Italy, Russia, China, India, Japan, Australia, Indonesia, Malaysia, South Korea, Brazil, Mexico, South Africa, Saudi Arabia, UAE, Kuwait), Application Growth Potential, Price Trends, Competitive Market Share & Forecast, 2018 – 2025

https://www.gminsights.com/industry-analysis/vacuum-pump-market

SiFive, a provider of commercial RISC-V processor IP, today announced the first open-source RISC-V-based SoC platform for edge inference applications based on NVIDIA’s Deep Learning Accelerator (NVDLA) technology.

The demo will be shown this week at the Hot Chips conference and consists of NVDLA running on an FPGA connected via ChipLink to SiFive’s HiFive Unleashed board powered by the Freedom U540, the world’s first Linux-capable RISC-V processor. The complete SiFive implementation is well suited for intelligence at the edge, where high-performance with improved power and area profiles are crucial. SiFive’s silicon design capabilities and innovative business model enables a simplified path to building custom silicon on the RISC-V architecture with NVDLA.

NVIDIA open-sourced its leading deep learning accelerator over a year ago to spark the creation of more AI silicon solutions. Open-source architectures such as NVDLA and RISC-V are essential building blocks of innovation for Big Data and AI solutions.

“It is great to see open-source collaborations, where leading technologies such as NVDLA can make the way for more custom silicon to enhance the applications that require inference engines and accelerators,” said Yunsup Lee, co-founder and CTO, SiFive. “This is exactly how companies can extend the reach of their platforms.”

“NVIDIA open sourced its NVDLA architecture to drive the adoption of AI,” said Deepu Talla, vice president and general manager of Autonomous Machines at NVIDIA. “Our collaboration with SiFive enables customized AI silicon solutions for emerging applications and markets where the combination of RISC-V and NVDLA will be very attractive.”

IC Insights released its August Update to the 2018 McClean Report earlier this month.  This Update included a discussion of the top-25 semiconductor suppliers in 1H18 (the top-15 1H18 semiconductor suppliers are covered in this research bulletin) and Part 1 of an extensive analysis of the IC foundry market and its suppliers.

The top-15 worldwide semiconductor (IC and O-S-D—optoelectronic, sensor, and discrete) sales ranking for 1H18 is shown in Figure 1.  It includes seven suppliers headquartered in the U.S., three in Europe, two each in South Korea and Taiwan, and one in Japan.  After announcing in early April 2018 that it had successfully moved its headquarters location from Singapore to the U.S. IC Insights now classifies Broadcom as a U.S. company.

Figure 1

As shown, all but four of the top 15 companies had double-digit year-over-year growth in 1H18. Moreover, seven companies had ≥20% growth, including the five big memory suppliers (Samsung, SK Hynix, Micron, Toshiba/Toshiba Memory, and Western Digital/SanDisk) as well as Nvidia and ST.

The top-15 ranking includes one pure-play foundry (TSMC) and four fabless companies. If TSMC were excluded from the top-15 ranking, U.S.-based Apple would have been ranked in the 15th position. Apple is an anomaly in the top company ranking with regards to major semiconductor suppliers. The company designs and uses its processors only in its own products—there are no sales of the company’s MPUs to other system makers. IC Insights estimates that Apple’s custom ARM-based SoC processors and other custom devices had a “sales value” of $3.5 billion in 1H18.

IC Insights includes foundries in the top-15 semiconductor supplier ranking since it has always viewed the ranking as a top supplier list, not a marketshare ranking, and realizes that in some cases the semiconductor sales are double counted. With many of our clients being vendors to the semiconductor industry (supplying equipment, chemicals, gases, etc.), excluding large IC manufacturers like the foundries would leave significant “holes” in the list of top semiconductor suppliers. Foundries and fabless companies are identified in the Figure. In the April Update to The McClean Report, marketshare rankings of IC suppliers by product type were presented and foundries were excluded from these listings.

Overall, the top-15 list shown in Figure 1 is provided as a guideline to identify which companies are the leading semiconductor suppliers, whether they are IDMs, fabless companies, or foundries.

In May 2018, Toshiba completed the $18.0 billion sale of its memory IC business to the Bain Capital-led consortium. Toshiba then repurchased a 40.2% share of the business. The Bain consortium goes by the name of BCPE Pangea and the group owns 49.9% of Toshiba Memory Corporation (TMC). Hoya Corp. owns the remaining 9.9% of TMC’s shares. The new owners have plans for an IPO within three years. Bain has said it plans to support the business in pursing M&A targets, including potentially large deals.

As a result of the sale of Toshiba’s memory business, the 2Q18 sales results shown in Figure 1 include the combined sales of the remaining semiconductor products at Toshiba (e.g., Discrete devices and System LSIs) and the new Toshiba Memory’s NAND flash sales. The estimated breakdown of these sales in 2Q18 is shown below:

Toshiba System LSI: $468M
Toshiba Discrete: $315M
Toshiba Memory Corporation: $3,107M
Total Toshiba/Toshiba Memory Corporation 2Q18 Sales: $3,890M

In total, the top-15 semiconductor companies’ sales surged by 24% in 1H18 compared to 1H17, four points higher than the total worldwide semiconductor industry 1H18/1H17 increase of 20%. Amazingly, the Big 3 memory suppliers—Samsung, SK Hynix, and Micron, each registered greater than 35% year-over-year growth in 1H18. Fourteen of the top-15 companies had sales of at least $4.0 billion in 1H18, three companies more than in 1H17. As shown, it took just over $3.7 billion in sales just to make it into the 1H18 top-15 semiconductor supplier list.

Intel was the number one ranked semiconductor supplier in 1Q17 but lost its lead spot to Samsung in 2Q17 as well as in the full-year 2017 ranking, a position it had held since 1993. With the continuation of the strong surge in the DRAM and NAND flash markets over the past year, Samsung went from having only 1% more total semiconductor sales than Intel in 1H17 to having 22% more semiconductor sales than Intel in 1H18!

It is interesting to note that memory devices are forecast to represent 84% of Samsung’s semiconductor sales in 2018, up three points from 81% in 2017 and up 13 points from 71% just two years earlier in 2016. Moreover, the company’s non-memory sales in 2018 are expected to be only $13.5 billion, up 8% from 2017’s non-memory sales level of $12.5 billion. In contrast, Samsung’s memory sales are forecast to be up 31% this year and reach $70.0 billion.

The 64thannual IEEE International Electron Devices Meeting (IEDM), the world’s largest, most influential forum for technologists to unveil breakthroughs and new concepts in transistors and related micro/nanoelectronics devices, will be held December 1-5, 2018 at the Hilton San Francisco Union Square hotel. The late-news submission deadline is September 10.

The IEDM’s tradition of spotlighting more leading work in more areas of the field continues, even as the conference evolves to support the interdisciplinary and continuing educational needs of the scientists, engineers and students whose efforts make possible the expansion of the worldwide electronics industry.

“We live in a time when electronics technology touches more aspects of business and industry than ever before,” said Kirsten Moselund, IEDM 2018 Publicity Chair and Research Staff Member at IBM Research–Zurich. “No matter what their specialty is, attendees will come away from the conference with a deeper understanding of the challenges and opportunities before them.”

“In terms of industrial applications, the evening panel session on EUV will give attendees the opportunity to explore and debate this emerging technology with the very people who are driving it forward,” said Rihito Kuroda, IEDM 2018 Publicity Vice Chair and Associate Professor at Tohoku University. “This is just one way in which the IEDM conference gives people insights into the technologies that will become mainstream in a few years.”

Here are details of some of the talks and events that will take place at this year’s IEDM. The papers to be presented in the technical sessions will be chosen in late September and highlights from them will be forthcoming soon thereafter:

Focus Sessions

  • Quantum Computing – Quantum computing will enable new types of algorithms to tackle problems in areas from materials science to medicine to artificial intelligence. We are still in early stages, facing fundamental questions such as: What is the best way to implement a quantum bit of information? How to connect them together? How to scale to larger systems without being overwhelmed by errors? This session brings together experts at the forefront of quantum computing research. Starting from an applications perspective, attendees will hear about different approaches to address fundamental questions at the device level; the progress achieved so far; and next steps.
    • Application Requirements for Quantum Computing, John Preskill, Caltech
    • Materials and Device Challenges for Near-Term Superconducting Quantum Processors, Jerry Chow, IBM
    • Towards Scalable Silicon Quantum Computing, Maud Vinet, CEA-Leti
    • Silicon Isotope Technology for Quantum Computing, Kohei Itoh, Keio University
    • Qubit Device Integration Using Advanced Semiconductor Manufacturing Process Technology, Ravi Pillarrisetty, Intel
    • Scalable Quantum Computing with Single Dopant Atoms in Silicon, Andrea Morello, Univ. New South Wales
    • Majorana Qubits, Leo Kouwenhoeven, Microsoft
  • Future Technologies Towards Wireless Communications: 5G and Beyond– 5G technology will drastically reduce limitations on accessibility, bandwidth, performance, and latency, but as it triggers fundamentally new applications it also will impose unique hardware requirements. This focus session will set a big picture view and then narrow down to how innovations in CMOS technologies, devices, filters, transceivers and antennas are coming together to enable the 5G platform.
    • Intel 22nm FinFET (22FFL) Process Technology for RF and mmWave Applications and Circuit Design Optimization for FinFET Technology, Hyung-Jin Lee, Intel
    • RFIC/CMOS Technologies for 5G, mmWave and Beyond, Ali Niknejad, UC Berkeley
    • GaN HEMTs for 5G Base Station Applications, Shigeru Nakajima, Sumitomo Electron Devices
    • Highly Integrated mm-Wave Transceivers for Communication Systems,Vadim Issakov, Infineon
    • BAW Filters for 5G Bands, Robert Aigner, Qorvo
    • Reconfigurable Micro/Millimeter-wave Filters, Dimitrios Peroulis, Purdue
  • Challenges for Wide Bandgap Device Adoption in Power Electronics– Wide bandgap (WBG) power devices offer potential savings in both energy and cost. But converters powered by WBG devices require innovation at all levels, entailing changes to system design, circuit architecture, qualification metrics and even market models. Can SiC or GaN push beyond what silicon can possibly achieve? What are the big challenges researchers should answer over the next decade? A team of experts will interpret the landscape and discuss challenges to the widespread adoption of these technologies.
    • GaN and SiC Devices for Automotive Applications, Tetsu Kachi, Nagoya University
    • SiC MOSFET for Mainstream Adoption, Peter Friedrichs, Infineon
    • GaN Power Commercialization with Highest Quality-Highest Reliability 650V HEMTs- Requirements, Successes and Challenges, Primit Parikh, Transphorm
    • The Current Status and Future Prospects of SiC High Voltage Technology, Andrei Mihaila, ABB
    • Barriers to Wide Bandgap Semiconductor Device Adoption in Power Electronics, Isik Kizilyalli, ARPA-E
    • High to Ultra-High Voltage SiC Power Device Technology, Yoshiyuki Yonezawa, AIST
    • Effects of Basal Plane Dislocations on SiC Power Device Reliability, Robert E. Stahlbush, Naval Research Laboratory
  • Interconnects to Enable Continued Technology Scaling –BEOL copper (Cu) interconnects are close to end-of-life as a manufacturing technology, while the increasing complexity of MEOL processes requires novel materials. Also, the end of the Cu roadmap will coincide with significant changes in the dominant transistor architecture, and therefore the interaction between transistor architecture and interconnect will drive future interconnect development. This session provides a holistic perspective of interconnect scaling challenges and solutions. It will address the drivers of future interconnect architectures, the process options likely to be implemented in manufacturing, and how they will be tuned to ensure circuit reliability is maintained.
    • Interconnect Design and Technology Optimization for Conventional and Exotic Nanoscale Devices: A Physical Design Perspective, Naeemi, Georgia Tech
    • Mechanisms of Electromigration Damage in Cu Interconnects, K. Hu, IBM
    • Interconnect Metals Beyond Copper: Reliability Challenges and Opportunities, K. Croes, Imec
    • Microstructure Evolution and Effect on Resistivity for Cu Nano-interconnects and Beyond, Paul Ho, UT Austin
    • Integrating Graphene into Future Generations of BEOL Interconnects,-S. Philip Wong, Stanford
    • Interconnect Trends for Single Digit Nodes, Mehul Naik, Applied Materials

90-Minute Tutorials – Saturday, Dec. 1

A series of 90-minute tutorial sessions on emerging technologies will be presented by experts in the fields, bridging the gap between textbook-level knowledge and leading-edge current research.

  • Reliability Challenges in Advanced Technologies,Ryan Lu, TSMC
  • STT-MRAM Design and Device Requirements, Shinichiro Shiratake, Toshiba Memory
  • Quantum Computing Primer, Mark B. Ritter, IBM
  • Power Transistors in Integrated BCD Technologies, Hal Edwards, Texas Instruments
  • Design-Technology Co-optimization at RF and mmWave, Bertand Parvais, IMEC
  • Emerging Device Technologies for Neuromorphic Computing, Damien Querlioz, CNRS

Short Courses – Sunday, Dec. 2

Full-day Short Courses will be held, offering the opportunity to learn about important areas and developments, and to network with experts from around the world.

  • It’s All About Memory, Not Logic!, organized by Nirmal Ramaswamy, Micron
  • DRAM: Its Challenging History and Future, Dong Soo Woo, Samsung
  • 3D Flash Memories: Overview of Cell Structures, Operations and Scaling Challenges, Makoto Fujiwara, Toshiba Memory Corporation.
  • Emerging Memories Including Cross-Point, Opportunities and Challenges, Kiran Pangal, Intel
  • Memory Reliability, Qualification and their Relation to System-Level Reliability Strategies, Todd Marquart, Micron
  • Packaging Technology for High Bandwidth Memory, Nick (Namseog) Kim, SK Hynix
  • Processing in Memory (PIM): Performance and Thermal Challenges and Opportunities, Mircea Stan, UVA
  • Scaling Survival Guide in the More-than-Moore Era, organized by Jin Cai, TSMC
  • Extreme-UV Lithography – Principles, Present Status and Outlook,Tony Yen, ASML
  • MOSFET Scaling Knobs (GAA, NCFET…) and Future Alternatives,Witek Maszara, Globalfoundries
  • Overcoming Variation Challenges, Sivakumar Mudanai, Intel
  • Embedded Memory: Present Status and Emerging Architecture and Technology for Future Applications,Eric Wang, TSMC
  • 3D Integration for Density and Functionality,Julien Ryckaert, Imec
  • Advanced Packaging: the Next Frontier for Moore’s “Law,” Subramanian Iyer, UCLA

Plenary Presentations – Monday, Dec. 3

  • Future Computing Hardware for AI, Jeffery Welser, Vice President, IBM Research-Almaden
  • 4th Industrial Revolution and Foundry: Challenges and Opportunities,” Eun Seung Jung, President of Foundry Business, Samsung Electronics
  • The Status, Challenges and Opportunities of 5G, Prof. Gerhard P. Fettweis, TU Dresden

Evening Panel Session – Tuesday evening, Dec. 4

  • EUV: Too Little, Too Late, Too Expensive or the Ultimate Cure-All?,organized by Sanjay Natarajan, Senior VP of Applied Materials. Much progress has been made in EUV patterning technology, and yet manufacturing throughput, masks, pellicles and resists still persist as problems today. The complexity of reliably transferring features at the 7nm node and below using quadruple patterning and 193nm immersion is affecting yield, affecting the cost-per-gate reduction and slowing down Moore’s Law. The industry eagerly awaits EUV, but is it too little, too late and too expensive, or is it the ultimate panacea? A team of world-renowned experts from the leading logic and memory IDMs, foundries and fabless companies will vigorously debate the issue.

Luncheon – Wednesday, Dec. 5

The speakers are yet to be determined, but IEDM will have a new lunch event this year that features industry leaders engaging the audience on the state of the industry, and on careers in device and VLSI technology.

Vendor Exhibition/Poster Sessions

  • A vendor exhibition will be held once again, with special exhibit events in the evenings.
  • This year two poster sessions will be held, one on MRAM technology organized by the IEEE Magnetics Society, the other a student research showcase hosted by the Semiconductor Research Corporation.

Further information about IEDM

For registration and other information, visit www.ieee-iedm.org.

Follow IEDM via social media

About IEEE
IEEE is the world’s largest technical professional organization dedicated to advancing technology for the benefit of humanity. Through its highly cited publications, conferences, technology standards, and professional and educational activities, IEEE is the trusted voice in a wide variety of areas ranging from aerospace systems, computers, and telecommunications to biomedical engineering, electric power, and consumer electronics. Learn more at http://www.ieee.org.

Nordson SONOSCAN, a developer and producer of acoustic micro imaging (AMI) tools, announces its new Gen7™ laboratory style acoustic micro-imaging tool. The new Gen7 AMI tool enhances operator productivity and part throughput rate by providing greater versatility in transducer movement, faster scanning of samples, and faster processing of data.

Orders are now being taken for the Gen7 AMI tool, which, like its predecessors in the Nordson SONOSCANC-SAM® line, is designed for analytical work on small numbers of samples, although it can also screen modest quantities of components. Among its differentiating features:

  • 50% higher screening throughput from faster transducer motors.
  • Scan area significantly enlarged, so more parts can be scanned at one time.
  • Upward and downward range of Z movement of the transducer more than doubled to enable scanning of samples having a greater range of height variation.
  • Windows® 10 operating system and Sonolytics 2™ user interface have replaced Windows® 7 and Sonolytics™, respectively.
  • Intel’s i7 seventh generation chips make the system’s computer hardware 33% faster, giving, for example, quicker delivery of Digital Image Analysis.
  • Both monitors have high resolution 4K screens to reveal more detail.
  • Includes Waterplume™ technology, so a separate C-SAM tool is not needed to image IGBT modules.

Users will notice that frequently used menu items now appear in the User Interface, eliminating the need to open a menu. Other changes include easy access to the current timing mode in the A-Scan and the ability to Go To a TOF directly from the movement interface.