Category Archives: Wafer Processing

Cautious optimism


June 15, 2018

By Walt Custer

Updated global GDP forecast

The World Bank just updated its multiyear forecast for GDP growth both globally and by country (Chart 1).

It noted: “Despite recent softening, global economic growth will remain robust at 3.1 percent in 2018 before slowing gradually over the next two years, as advanced-economy growth decelerates and the recovery in major commodity-exporting emerging market and developing economies levels off.

“This outlook is subject to considerable downside risks. The possibility of disorderly financial market volatility has increased, and the vulnerability of some emerging market and developing economies to such disruption has risen. Trade protectionist sentiment has also mounted, while policy uncertainty and geopolitical risks remain elevated.”

Chart 1

Semiconductor growth outlook strong (Chart 2)

The WSTS updated its world semiconductor shipment forecast. This new forecast (endorsed by SIA) projects worldwide semiconductor sales will be a record $463 billion in 2018, a 12.4 percent increase from 2017. WSTS projects year-to-year increases across all regional markets for 2018.

Chart 2

This revised semiconductor forecast coupled with very robust global semiconductor capital equipment sales (Chart 3) paint a positive outlook for 2018.

Chart 3

Very strong end market growth in first quarter (Chart 4)

Based upon the combined 1Q’18 financial reports of 213 large, global OEMs, electronic equipment sales (consolidated into U.S. dollars) increased globally an estimated (and very robust) 10.6 percent in 1Q’18 vs. 1Q’17. While this world growth result is very heartening it was significantly inflated by exchange rate effects as stronger non-dollar currencies were converted into weaker dollars.

Chart 4

Looking at world electronic equipment sales consolidated into both dollars and euros, 1Q’18 growth rates are MUCH different (Chart 5). 1Q’18 vs.1Q’17 electronic equipment sales grew 10.6 percent in dollars but declined 4.3 percent in euros!

Chart 5

Certainly the first quarter was strong globally but the currency chosen for analysis can have a BIG effect.

U.S. supply chain expansion continues

Looking at the U.S. market (in dollars – therefore not distorted by exchange rates) domestic electronic equipment orders rose 6.7 percent in February-April 2018 versus the same three-month period in 2017. The U.S. electronic industry is doing reasonably well at present.

www.census.gov/manufacturing/m3/

Expect the recent exchange rate based amplification of dollar denominated global growth to taper off quickly.

Keep a careful watch on the geopolitical situation.

Walt Custer of Custer Consulting Group is an analyst focused on the global electronics industry.

Originally published on the SEMI blog.

Synopsys, Inc. (Nasdaq: SNPS) today announced that Synopsys’ IC Validator has been certified by Samsung Foundry for signoff of all designs using its 7-nanometer (nm) Low Power Plus (LPP) process with Extreme Ultraviolet (EUV) lithography technology. The signoff-certified runsets, including design rule checking (DRC), layout-versus-schematic (LVS) and metal fill technology files, are available immediately from Samsung Foundry. Samsung Foundry 7LPP customers can now use IC Validator’s modern distributed processing in conjunction with runsets from Samsung Foundry to achieve faster physical verification turnaround time with the highest level of accuracy.

“We are building a customer-friendly design enablement ecosystem for 7LPP, our first EUV-based process technology,” said Ryan Sanghyun Lee, vice president of Foundry Marketing Team at Samsung Electronics. “Synopsys’ IC Validator is a great solution for our mutual customers to make the next generation of SoCs, which will lead the fourth industrial revolution with maximized power and performance benefit based on 7LPP process technology.”

IC Validator, a key component of the Synopsys Design Platform, is a comprehensive and highly scalable physical verification tool suite including DRC, LVS, programmable electrical rule checks (PERC), fill, and DFM enhancement capabilities. IC Validator is architected for high performance and scalability that maximizes utilization of mainstream hardware, using smart memory-aware load scheduling and balancing technologies. It uses both multi-threading and distributed processing over multiple machines to provide scalability benefits that extend to more than a thousand CPUs.

“Our partnership with Samsung Foundry has been focused on delivering high-quality and high-performance physical signoff solutions for today’s leading-edge designs,” said Christen Decoin, senior director of business development, Design Group at Synopsys. “This certification brings the proven benefits of IC Validator physical verification to Samsung Foundry 7LPP customers.”

The semiconductor industry is nearing a third consecutive year of record equipment spending with projected growth of 14 percent (YOY) in 2018 and 9 percent in 2019, a mark that would extend the streak to a historic fourth consecutive growth year, according to the latest update of the World Fab Forecast report published by SEMI. Over the semiconductor industry’s 71-year history, only once before – in the mid 1990s – has the industry logged four consecutive years of equipment spending growth.

Korea and China are leading the growth, with Samsung dominating global spending and ascendant China on a fast, steep rise, surging ahead of all other markets. See Figure 1.

Figure 1 equipment spending by region (includes new and refurbished)

While Samsung is expected to reduce equipment investments in 2018, the company still accounts for a dominant 70 percent of all investment in Korea. At the same time, SK Hynix is increasing its equipment spending in Korea.

China’s equipment spending is forecast to increase 65 percent in 2018 and 57 percent in 2019.  Notably, 58 percent of investments in China in 2018 and 56 percent in 2019 stem from companies with headquarters in other regions such as Intel, SK Hynix, TSMC, Samsung, and GLOBALFOUNDRIES. Domestic, Chinese-owned companies – backed by large government initiatives – are building a considerable number of new fabs that will start equipping in 2018. The companies are expected to double their equipment investments in 2018 and again in 2019.

Other regions are also ramping up investments. Japan is increasing equipment spending by 60 percent in 2018, with the largest increases by Toshiba, Sony, Renesas and Micron.

The Europe and Mideastern region will boost investments by 12 percent in 2018, with Intel, GLOBALFOUNDRIES, Infineon and STMicroelectronics the largest contributors.

Southeast Asia will boost investments by more than 30 percent in 2018, although total spending is proportionately smaller than in other regions owing to its size. The main contributors are Micron, Infineon and GLOBALFOUNDRIES, though companies including OSRAM and ams are also increasing investments.

The SEMI World Fab Forecast, which also includes information on other companies, covers data and predictions through the end of 2019, including milestones, detailed investments by quarter, product types, technology nodes and capacities down to fab and project level.

Learn more about the SEMI fab databases at:

www.semi.org/en/MarketInfo/FabDatabase and www.youtube.com/user/SEMImktstats.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $37.6 billion for the month of April 2018, an increase of 20.2 percent from the April 2017 total of $31.3 billion and 1.4 percent more than last month’s total of $37.1 billion. Monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a newly released WSTS industry forecast projects annual global market growth of 12.4 percent in 2018 and 4.4 percent in 2019.

“The global semiconductor industry has posted consistently strong sales so far in 2018, and the global market has now experienced year-to-year growth of greater than 20 percent for 13 consecutive months,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Although boosted in part by impressive growth in the memory market, sales of non-memory products also grew by double digits in April on a year-to-year basis, and all major regional markets posted double-digit year-to-year gains. The global market is projected to experience significant annual growth this year, with more modest growth expected next year.”

Regionally, year-to-year sales increased in the Americas (34.1 percent), China (22.1 percent), Europe(21.4 percent), Japan (14.6 percent), and Asia Pacific/All Other (10.2 percent). Compared with last month, sales were up in China (3.2 percent), Japan (2.7 percent), Europe (1.4 percent), and the Americas (0.8 percent), but down slightly in Asia Pacific/All Other (-0.8 percent).

Additionally, SIA today endorsed the WSTS Spring 2018 global semiconductor sales forecast, which projects the industry’s worldwide sales will be $463.4 billion in 2018. This would mark the industry’s highest-ever annual sales, a 12.4 percent increase from the 2017 sales total. WSTS projects year-to-year increases across all regional markets for 2018: the Americas (14.0 percent), Europe (13.4 percent), Asia Pacific (including China) (12.3 percent), and Japan (8.6 percent). In 2019, growth in the semiconductor market is expected to moderate, with sales increases of between 4-5 percent expected across each of the regions. WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

For comprehensive monthly semiconductor sales data and detailed WSTS Forecasts, consider purchasing the WSTS Subscription Package. For information about the global semiconductor industry and market, check out SIA’s free 2018 Factbook.

Apr 2018

Billions

Month-to-Month Sales                              

Market

Last Month

Current Month

% Change

Americas

8.10

8.16

0.8%

Europe

3.58

3.63

1.4%

Japan

3.21

3.30

2.7%

China

11.98

12.36

3.2%

Asia Pacific/All Other

10.23

10.15

-0.8%

Total

37.09

37.59

1.4%

Year-to-Year Sales

Market

Last Year

Current Month

% Change

Americas

6.08

8.16

34.1%

Europe

2.99

3.63

21.4%

Japan

2.88

3.30

14.6%

China

10.12

12.36

22.1%

Asia Pacific/All Other

9.21

10.15

10.2%

Total

31.28

37.59

20.2%

Three-Month-Moving Average Sales

Market

Nov/Dec/Jan

Feb/Mar/Apr

% Change

Americas

8.63

8.16

-5.5%

Europe

3.40

3.63

6.6%

Japan

3.21

3.30

2.8%

China

12.01

12.36

2.9%

Asia Pacific/All Other

10.35

10.15

-1.9%

Total

37.60

37.59

0.0%

Worldwide industrial semiconductor revenues grew by 11.8 percent year over year, reaching $49.1 billion in 2017, according to the latest analysis from IHS Markit (Nasdaq: INFO). Industrial electronics equipment demand was broad-based, with continued growth in commercial and military aircraft, LED lighting, digital signage, digital video surveillance, climate control, smart meters, traction, photovoltaic (PV) inverters, human machine interface and various medical electronics like cardiac equipment, hearing aids, endoscopy and imaging systems. The industry is expected to grow at a compound annual growth rate (CAGR) of 7.1 percent through 2022.

Optical semiconductors delivered excellent performance, due to the continued strength of the general LED lighting market. Power discretes demand has ramped up in industrial motor drives, EV chargers, PV inverters, traction and lighting equipment. General purpose analog has a strong five-year growth in various industrial markets, especially in factory automation, power and energy, and lighting. Microcontrollers (MCUs) are also projected to experience broad-based growth in the long term, thanks to advances in power efficiency and integration features.

“The resilient economy in the United States, and strong demand in China, carried the lion’s share of industrial equipment demand in 2017,” said Robbie Galoso, associate director and principal analyst, industrial semiconductors, for IHS Markit. “A European resurgence also provided a strong tailwind for semiconductor growth.”

Global industrial semiconductor market share rankings

Strategic acquisitions continued to play a major role in shaping the overall semiconductor market rankings in key industrial semiconductor segments. All the following top 10 industrial semiconductor suppliers achieved revenue growth in 2017:

  1. Texas Instruments (TI) maintained its position as the largest industrial semiconductor supplier in 2017.
  2. The acquisition of Linear Technology catapulted Analog Devices into second position.  The combined Analog Devices and Linear Technology company generated $2.8 billion in industrial revenue in 2017. This acquisition boosted ADI’s industrial market shares in diversified segments within factory automation, military aerospace, video surveillance, test and measurement, medical, and power and energy applications.
  3. Intel ranked third, as the company’s Internet of Things (IoT) division continued to generate double-digit revenue growth attributed to innovation and strength in its factory automation, video surveillance and medical segments. Growth was also aided by the proliferation of smart and connected devices and a tremendous uplift in data analytics.
  4. Ranking fourth, Infineon’s strong revenue growth continued to be led by industrial applications, especially in factory automation, traction and various power and energy segments like PV, electric vehicle chargers and power supplies, where its leading discrete and power management devices are used.
  5. In fifth position, STMicroelectronics solid industrial revenue stream stems from a variety of applications, including factory and building automation, where its MCU, analog and discrete components are used.
  6. Micron’s organic revenue from industrial businesses continued to flourish in 2017, pushing the company into sixth place, driven by dynamic random-access memory (DRAM) growth in industrial IoT (IIoT) markets, spanning factory automation, video surveillance and transportation.
  7. Toshiba ranked seventh, with industrial electronics revenue growing to $1.5 billion in 2017. Growth was driven by power transistor discretes, MCU, optical and logic integrated circuit (IC) solutions in manufacturing and process automation, power and energy, and building and home control.
  8. Microchip Technology ranked eighth, and its revenue growth was primarily supported by MCU solutions in manufacturing and process automation, power and energy, and building and home control.
  9. ON Semiconductor was ranked ninth in 2017, driven by manufacturing and process automation, including machine vision, power and energy, building automation and hearing aids and other medical devices.
  10. NXP ranked tenth in the industrial market, with its strong presence in manufacturing and process automation, building and home control, medical electronics and other industrial applications.

Although not part of the top 10 ranking, China’s massive investments in LED manufacturing were especially noteworthy. Chinese firm MLS rose from 18th to 13th place, after posting 50 percent revenue growth and reaching $1 billion in 2017. MLS beat out other leading general lighting LEDs suppliers Nichia, Osram and Cree.

Applied Materials, Inc. today announced a breakthrough in materials engineering that accelerates chip performance in the big data and AI era.

In the past, classic Moore’s Law scaling of a small number of easy-to-integrate materials simultaneously improved chip performance, power and area/cost (PPAC). Today, materials such as tungsten and copper are no longer scalable beyond the 10nm foundry node because their electrical performance has reached physical limits for transistor contacts and local interconnects. This has created a major bottleneck in achieving the full performance potential of FinFET transistors. Cobalt removes this bottleneck but also requires a change in process system strategy. As the industry scales structures to extreme dimensions, the materials behave differently and must be systematically engineered at the atomic scale, often under vacuum.

To enable the use of cobalt as a new conducting material in the transistor contact and interconnect, Applied has combined several materials engineering steps – pre-clean, PVD, ALD and CVD – on the Endura® platform. Moreover, Applied has defined an integrated cobalt suite that includes anneal on the Producer® platform, planarization on the Reflexion® LK Prime CMP platform and e-beam inspection on the PROVision platform. Customers can use this proven, Integrated Materials Solution to speed time-to-market and increase chip performance at the 7nm foundry node and beyond.

“Five years ago, Applied anticipated an inflection in the transistor contact and interconnect, and we began developing an alternative materials solution that could take us beyond the 10nm node,” said Dr. Prabu Raja, senior vice president of Applied’s Semiconductor Products Group. “Applied brought together its experts in chemistry, physics, engineering and data science to explore the broad portfolio of Applied’s technologies and create a breakthrough Integrated Materials Solution for the industry. As we enter the big data and AI era, there will be more of these inflections, and we are excited to be having earlier and deeper collaborations with our customers to accelerate their roadmaps and enable devices we never dreamed possible.”

While challenging to integrate, cobalt brings significant benefits to chips and chip making: lower resistance and variability at small dimensions; improved gapfill at very fine dimensions; and improved reliability. Applied’s integrated cobalt suite is now shipping to foundry/logic customers worldwide.

Applied Materials, Inc. (Nasdaq:AMAT) is a leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world.

A new way of enhancing the interactions between light and matter, developed by researchers at MIT and Israel’s Technion, could someday lead to more efficient solar cells that collect a wider range of light wavelengths, and new kinds of lasers and light-emitting diodes (LEDs) that could have fully tunable color emissions.

The fundamental principle behind the new approach is a way to get the momentum of light particles, called photons, to more closely match that of electrons, which is normally many orders of magnitude greater. Because of the huge disparity in momentum, these particles usually interact very weakly; bringing their momenta closer together enables much greater control over their interactions, which could enable new kinds of basic research on these processes as well as a host of new applications, the researchers say.

The new findings, based on a theoretical study, are being published today in the journal Nature Photonics in a paper by Yaniv Kurman of Technion (the Israel Institute of Technology, in Haifa); MIT graduate student Nicholas Rivera; MIT postdoc Thomas Christensen; John Joannopoulos, the Francis Wright Davis Professor of Physics at MIT; Marin Soljacic, professor of physics at MIT; Ido Kaminer, a professor of physics at Technion and former MIT postdoc; and Shai Tsesses and Meir Orenstein at Technion.

While silicon is a hugely important substance as the basis for most present-day electronics, it is not well-suited for applications that involve light, such as LEDs and solar cells — even though it is currently the principal material used for solar cells despite its low efficiency, Kaminer says. Improving the interactions of light with an important electronics material such as silicon could be an important milestone toward integrating photonics — devices based on manipulation of light waves — with electronic semiconductor chips.

Most people looking into this problem have focused on the silicon itself, Kaminer says, but “this approach is very different — we’re trying to change the light instead of changing the silicon.” Kurman adds that “people design the matter in light-matter interactions, but they don’t think about designing the light side.”

One way to do that is by slowing down, or shrinking, the light enough to drastically lower the momentum of its individual photons, to get them closer to that of the electrons. In their theoretical study, the researchers showed that light could be slowed by a factor of a thousand by passing it through a kind of multilayered thin-film material overlaid with a layer of graphene. The layered material, made of gallium arsenide and indium gallium arsenide layers, alters the behavior of photons passing through it in a highly controllable way. This enables the researchers to control the frequency of emissions from the material by as much as 20 to 30 percent, says Kurman, who is the paper’s lead author.

The interaction of a photon with a pair of oppositely charged particles — such as an electron and its corresponding “hole” — produces a quasiparticle called a plasmon, or a plasmon-polariton, which is a kind of oscillation that takes place in an exotic material such as the two-dimensional layered devices used in this research. Such materials “support elastic oscillations on its surface, really tightly confined” within the material, Rivera says. This process effectively shrinks the wavelengths of light by orders of magnitude, he says, bringing it down “almost to the atomic scale.”

Because of that shrinkage, the light can then be absorbed by the semiconductor, or emitted by it, he says. In the graphene-based material, these properties can actually be controlled directly by simply varying a voltage applied to the graphene layer. In that way, “we can totally control the properties of the light, not just measure it,” Kurman says.

Although the work is still at an early and theoretical stage, the researchers say that in principle this approach could lead to new kinds of solar cells capable of absorbing a wider range of light wavelengths, which would make the devices more efficient at converting sunlight to electricity. It could also lead to light-producing devices, such as lasers and LEDs, that could be tuned electronically to produce a wide range of colors. “This has a measure of tunability that’s beyond what is currently available,” Kaminer says.

“The work is very general,” Kurman says, so the results should apply to many more cases than the specific ones used in this study. “We could use several other semiconductor materials, and some other light-matter polaritons.” While this work was not done with silicon, it should be possible to apply the same principles to silicon-based devices, the team says. “By closing the momentum gap, we could introduce silicon into this world” of plasmon-based devices, Kurman says.

Because the findings are so new, Rivera says, it “should enable a lot of functionality we don’t even know about yet.”

Dow Performance Silicones further enhanced design flexibilities and processing options for consumer device and display OEMs today with the addition of DOWSIL™ SE 9100 and DOWSIL™ SE 9160 Adhesives to its portfolio of one-part, room-temperature cure (RTV) silicone solutions. In addition to offering versatile processing options, the two new silicone adhesives bond well to most substrates, deliver excellent rework ability with no residue, exhibit high flow to fill narrow gaps, and enable cure-in-place-gaskets (CIPG) that offer effective seals compatible with IPX7-rated water resistance.

DOWSIL™ SE 9100 Adhesive is a one-part silicone formulation that achieves fast tack-free processing at room temperature with the option to accelerate cure with the application of heat. It demonstrates low (< 1 percent) shrinkage by volume after cure to minimize internal stress for optimal sealing, and offers cost-effective processing and repairability during the assembly of mobile and display modules and other consumer devices.

DOWSIL™ SE 9160 Adhesive exhibits many of these same properties, yet its dual-cure formulation offers the option of faster in-line processing through irradiation with ultraviolet (UV) energy at densities as low as 4,000mJ/cm2 to component assembly to continue within seconds. Higher densities (10,000mJ/cm2) enable the material to quickly achieve full, deep section cure. In addition, in designs where the silicone adhesive is partially “in shadow” from the UV lamp, Dow’s new innovative silicone adhesive will still secure rapid moisture cure.

DOWSIL™ SE 9160 Adhesive is suitable for sealing small- to medium-sized consumer devices such as smart phones, tablets and displays. It is particularly effective at sealing air gaps or holes between LCD or OLED display panels and their plastic cover frames.

“Consumer device manufactures are under constant pressure to make their products more reliable, more profitable and packed with ever more features,” said Jayden Cho, global marketing segment leader, Consumer Devices at Dow Performance Silicones. “These two highly innovative silicone adhesives aim to help our global customers successfully address all three of these challenges as they push the boundaries of their next-generation device designs.”

Dow’s two new adhesives are available globally under the new DOWSIL™ label, which builds on seven decades of innovation and proven performance from the heritage Dow Corning silicone technology platform.

SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide semiconductor manufacturing equipment billings reached a historic quarterly high of US$17.0 billion for the first quarter of 2018, surging 59 percent in March to end the quarter with an all-time monthly high of $7.8 billion.

The US$17.0 billion in quarterly billings shatters the previous record set in the fourth quarter of 2017. First quarter 2018 billings are 12 percent higher than the previous quarter and 30 percent higher than the same quarter a year ago. The data are gathered jointly with the Semiconductor Equipment Association of Japan (SEAJ) from over 95 global equipment companies that provide data on a monthly basis.

The quarterly billings data by region in billions of U.S. dollars, quarter-over-quarter growth and year-over-year rates by region are as follows:

1Q2018
4Q2017
1Q2017
1Q18/4Q17
(Qtr-over-Qtr)
1Q18/1Q17
(Year-over-Year)
Korea
6.26
4.64
3.53
35%
78%
China
2.64
1.77
2.01
49%
31%
Taiwan
2.27
2.89
3.48
-22%
-35%
Japan
2.13
1.96
1.25
9%
70%
Europe
1.28
1.04
0.92
23%
39%
Rest of World
1.27
1.22
0.63
4%
103%
North America
1.14
1.58
1.27
-28%
-10%
Total
16.99
15.10
13.08
12%
30%

Source: SEMI (www.semi.org) and SEAJ, June 2018

 

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports: the monthly SEMI Billings Report, which offers a perspective of the trends in the equipment market; the monthly Worldwide Semiconductor Equipment Market Statistics (WWSEMS), a detailed report of semiconductor equipment billings for seven regions and 24 market segments; and the SEMI Semiconductor Equipment Forecast, which provides an outlook for the semiconductor equipment market. For more information or to subscribe, please contact SEMI customer service at 1.877.746.7788 (toll free in the U.S.) or 1.408.943.6901 (International Callers). More information is also available online: www.semi.org/en/MarketInfo/EquipmentMarket.

BISTel, a provider of intelligent, real-time data management, advanced analytics and predictive solutions for smart manufacturing announced today an innovative new Chamber Matching (CM) application that enables semiconductor manufacturers to better guard against events that negatively impact yield.

For semiconductor wafer manufacturers, optimizing wafer chamber performance is critical to ensuring high quality, high yield wafers. For customers to achieve this goal and maximize the performance of their fleet, analyzing variations in chamber performance and quickly recognizing which parameters are changing over time is critical to assuring the maximum possible yield from each chamber. BISTel’s new Chamber Matching (CM) application enables customers to quickly determine the best performing chamber – often referred to as the reference chamber or golden chamber. Customers can then compare the reference chamber to all other chambers to help maximize performance.

“CM is the second of four exciting new intelligent manufacturing solutions we have introduced to the market, and that will have an immediate impact on our customers wafer quality and yield,” noted W.K. Choi, Founder and CEO, BISTel. “With these advance new tools, we can perform real time monitoring and analysis to quickly identify the golden chamber and provide our customers the opportunity to maximize the performance of their equipment and processes.”

Key Features and Benefits

BISTel’s new Chamber Matching (CM) solution quickly identifies mis-matching and drifting sensors and it can analyze an unlimited number of chambers simultaneously. In addition, CM:

  • Provides real time monitoring to improve quality and yield.
  • Executes statistical analysis to quickly identify the best performing chamber or “Golden Chamber.”
  • Performs full trace analysis on all sensors and ranks chambers and parameters worse to best.
  • Enables customers to easily conduct time-based, chamber performance analysis.
  • Is completely FDC system independent

BISTel is a provider of real-time, intelligent manufacturing solutions that collect and manage big data, monitor the health of equipment, optimize process flows, analyze large data and quickly identify root cause failures to mitigate risk. BISTel solutions help customers reduce costs, improve quality, and increase yield. Founded in 2000, BISTel has more than 340 employees worldwide. The company is headquartered in South Korea, with offices in California, China, Singapore and Texas. BISTel has a deep customer following in semiconductor, FPD, and PCB/SMT manufacturing as well as automotive, Biotech and steel manufacturing. Its new A.I. based manufacturing intelligence platform will include new auto learning, predictive, self-healing, and continuous improvement features that accelerate smart manufacturing. For more information visit bistel.com