Category Archives: Wafer Processing

After strong year-over-year growth of 24% in 2017, worldwide semiconductor revenue is forecast to grow for the third consecutive year in 2018 to $450 billion, up 7.7% over 2017, according to a new Semiconductor Applications Forecaster (SAF) from International Data Corporation (IDC). The SAF also forecasts that semiconductor revenues will log a compound annual growth rate (CAGR) of 2.9% from 2017-2022, reaching $482 billion in 2022.

The overall memory market was the key story of last year, due to strong demand, limited supply, and product mix constraints. The DRAM and NAND memory markets grew to $73 billion and $49 billion respectively, reflecting year-over-year growth rates of 77% and 52% for 2017. Excluding DRAM and NAND, the overall semiconductor market grew by 12% year over year. For 2018, non-memory semiconductors are forecast to grow $11 billion to $302 billion. Both DRAM and NAND will continue to grow this year, but are expected to decline from 2019-2021 before recovering slightly in 2022.

The strong memory market resulted in Samsung Electronics capturing the top semiconductor manufacturer spot away from Intel and raised the profile of all the memory manufacturers, which now represent three of the top five semiconductor companies compared to only two the previous year. Revenue concentration continued to increase for the overall market with the top 10 companies making up 60% of the semiconductor market compared to 56% in 2016 and 53% in 2015.

“Market consolidation in the semiconductor industry over the past five years continues to shape the competitive landscape for semiconductor suppliers as each company continues to refine its core markets and make acquisitions to find new and emerging sectors for growth. The pace of change and technology is expected to accelerate as machine learning and autonomous systems enable a more diverse set of architectures to address the opportunity. This will fuel the engine of growth for semiconductor technology over the next decade,” said Mario Morales, program vice president, Semiconductors at IDC.

The automotive market and the industrial markets will continue to be the leading areas of growth for the semiconductor market throughout the forecast period, growing at a 9.6% and 6.8% CAGR from 2017-2022. “The key drivers of electrification, connectivity and infotainment, advanced driver assistance (ADAS), and autonomous driving features will continue to drive the growth of semiconductor content on a per vehicle basis,” said Nina Turner, research manager for Semiconductors at IDC.

Other key findings from IDC’s Semiconductor Application Forecaster (excluding memory) include:

  • Semiconductor revenue for the computing industry segment will decline 4.0% this year and will show a negative CAGR of -0.7% for the 2017-2022 forecast period. Two bright spots for the computing segment are computing and enterprise SSDs, growing in high double digits and 9.8% CAGR respectively for 2017-2022.
  • Semiconductor revenue for the mobile wireless communications segment will grow 5.5% year over year this year with a CAGR of 5.8% for 2017-2022. Semiconductor revenue for 4G mobile phones will experience an annual growth rate of 10.9% in 2018 and a CAGR of 3.1% for 2017-2022. 5G will also drive growth in the later part of the forecast as the technology becomes mainstream by the middle of the next decade.
  • Communications infrastructure semiconductors are forecast to grow at a 1.7% CAGR from 2017-2022 with the strongest growth coming from consumer networks.

Nanostructures are the holy grail of new materials.

The wonder material graphene, for example, is a single layer of carbon atoms arranged in a hexagonal pattern that, because of its conductivity, flexibility, transparency and strength, has the potential to create more efficient solar cells, smaller and faster electric circuits and microchips, transparent displays, and high density capacitors and batteries.

According to Xiaoji Xu (https://chemistry.cas2.lehigh.edu/faculty/xiaoji-xu-0), assistant professor in the Department of Chemistry at Lehigh University, another quality that makes nanomaterials like graphene so special is their ability to generate a physics phenomenon called a polariton.

Polaritons are quasiparticles resulting from a strong coupling of electromagnetic waves with an electric or magnetic dipole-carrying excitation–referred to by some as a light-matter coupling. Polaritons make it possible for nanostructures to confine–and compress–light around the material.

The ability to compress light is key to scaling down devices for future optical communications and computing. It could also lead to sensing at a scale below one nanometer, important for achieving biomedical advances in disease detection, prevention and treatment.

The challenge for people studying these materials, says Xu, is how to reveal–and characterize–the polaritons at the nanoscale because no conventional microscope can do that.

Now Xu and his team (https://xu-lab.com/) have found a way to reveal the 3-D shape of the polariton interaction around a nanostructure. Their technique improves upon the common spectroscopic imaging technique known as scattering-type scanning near-field optical microscopy (s-SNOM). The team’s method, called peak force scattering-type scanning near-field optical microscopy (PF-SNOM), works through a combination of peak force tapping mode and time-gated light detection. The researchers have detailed their work in an article called: “Tomographic and multimodal scattering-type scanning near-field optical microscopy with peak force tapping mode” (DOI: 10.1038/s41467-018-04403-5) published online on May 21st 2018 in Nature Communications. In addition to Xu, the paper’s co-authors include Haomin Wang, Le Wang and Devon S. Jakob, PhD students in Xu’s lab.

In the paper, the authors state: “PF-SNOM enables direct sectioning of vertical near-field signals from a sample surface for both three-dimensional near-field imaging and spectroscopic analysis. Tip-induced relaxation of surface phonon polaritons are revealed and modeled by considering tip damping.”

According to the researchers, PF-SNOM also offers an improved spatial resolution of five nanometers, rather than the typical ten nanometers offered by the traditional s-SNOM.

“Our technique could be beneficial to scientists studying nanostructures enabling them to better understand how the electrical field is distributed around a given nanostructure,” says Xu.

Their PF-SNOM characterization method is not only more direct than existing techniques, it can also simultaneously obtain the polaritonic, mechanical and electrical information.

With one measurement, explains Xu, multiple modes of information can be obtained–a unique advantage.

The development of PF-SNOM grew out of the team’s study of gap mode, when two plasmonic structures approach within a few nanometers there is a huge enhancement of the plasmon intensity in the gap between the two structures as energy is transferred from one structure to the other. With their ability to narrow this gap mode response in simulations, the researchers decided to try to extend it to non-gap mode – when increasing the distance between the atomic force microscopy (AFM) probe tip and the sample.

“Using an AFM tip, we measured the scattered light as a function of tip-sample distance,” explains Wang, a PhD student in Xu’s lab and a co-author on the paper. “We then gathered information at different tip-sample distances and combined all this layered information together to obtain the tomographic image and reveal the 3-D polariton structure.”

Interestingly, when the team began their experiments they expected a different outcome. However, during the simulations, they observed a special shape of light scattering and saw there was an obvious gap mode enhancement.

“It turned out that we could section the light in different tip-samples distances and use those signals to view the near-field response at different layers and in vertical directions,” says Wang.

He adds: “Though this work was done with infrared, in principle it could also be extended to other frequencies, such as visible and terahertz.”

Inorganic semiconductors such as silicon are indispensable in modern electronics because they possess tunable electrical conductivity between that of a metal and that of an insulator. The electrical conductivity of a semiconductor is controlled by its band gap, which is the energy difference between its valence and conduction bands; a narrow band gap results in increased conductivity because it is easier for an electron to move from the valence to the conduction band. However, inorganic semiconductors are brittle, which can lead to device failure and limits their application range, particularly in flexible electronics.

Inorganic semiconducting crystals generally tend to fail in a brittle manner. This is true for zinc sulfide (ZnS); ZnS crystals (A) show catastrophic fracture after mechanical tests under ordinary light-exposure environments (B). However, we found out that ZnS crystals can be plastically deformed up to a deformation strain of εt = 45 % when deformed along the [001] direction in complete darkness even at room temperature (C). Moreover, the optical band gap of the deformed ZnS crystals decreased by 0.6 eV after deformation. Credit: Atsutomo Nakamura

Inorganic semiconducting crystals generally tend to fail in a brittle manner. This is true for zinc sulfide (ZnS); ZnS crystals (A) show catastrophic fracture after mechanical tests under ordinary light-exposure environments (B). However, we found out that ZnS crystals can be plastically deformed up to a deformation strain of εt = 45 % when deformed along the [001] direction in complete darkness even at room temperature (C). Moreover, the optical band gap of the deformed ZnS crystals decreased by 0.6 eV after deformation. Credit: Atsutomo Nakamura

A group at Nagoya University recently discovered that an inorganic semiconductor behaved differently in the dark compared with in the light. They found that crystals of zinc sulfide (ZnS), a representative inorganic semiconductor, were brittle when exposed to light but flexible when kept in the dark at room temperature. The findings were published in Science.

“The influence of complete darkness on the mechanical properties of inorganic semiconductors had not previously been investigated,” study coauthor Atsutomo Nakamura says. “We found that ZnS crystals in complete darkness displayed much higher plasticity than those under light exposure.”

The ZnS crystals in the dark deformed plastically without fracture until a large strain of 45%. The team attributed the increased plasticity of the ZnS crystals in the dark to the high mobility of dislocations in complete darkness. Dislocations are a type of defect found in crystals and are known to influence crystal properties. Under light exposure, the ZnS crystals were brittle because their deformation mechanism was different from that in the dark.

The high plasticity of the ZnS crystals in the dark was accompanied by a considerable decrease in the band gap of the deformed crystals. Thus, the band gap of ZnS crystals and in turn their electrical conductivity may be controlled by mechanical deformation in the dark. The team proposed that the decreased band gap of the deformed crystals was caused by deformation introducing dislocations into the crystals, which changed their band structure.

“This study reveals the sensitivity of the mechanical properties of inorganic semiconductors to light,” coauthor Katsuyuki Matsunaga says. “Our findings may allow development of technology to engineer crystals through controlled light exposure.”

The researchers’ results suggest that the strength, brittleness, and conductivity of inorganic semiconductors may be regulated by light exposure, opening an interesting avenue to optimize the performance of inorganic semiconductors in electronics.

By Walt Custer, Custer Consulting Group

Broad global & U.S. electronic supply chain growth

The first quarter of this year was very strong globally, with growth across the entire electronics supply chain. Although Chart 1 is based on preliminary data, every electronics sector expanded –  with many in double digits. The U.S. dollar-denominated growth estimates in Chart 1 have effectively been amplified by about 5 percent by exchange rates (as stronger non-dollar currencies were consolidated to weaker U.S. dollars), but the first quarter global rates are very impressive nonetheless.

Walt Custer Chart 1

U.S. growth was also good (Chart 2) with Quarter 1 2018 total electronics equipment shipments up 7.2 percent over the same period last year. Since all the Chart 2 values are based on domestic (US$) sales, there is no growth amplification due to exchange rates.

Walt Custer Chart 2

We expect continued growth in Quarter 2 but not at the robust pace as the first quarter.

Chip foundry growth resumes

Taiwan-listed companies report their monthly revenues on a timely basis – about 10 days after month end. We track a composite of 14 Taiwan Stock Exchange listed chip foundries to maintain a “pulse” of this industry (Chart 3).

Walt Custer Chart 3

Chip foundry sales have been a leading indicator for global semiconductor and semiconductor capital equipment shipments. After dropping to near zero in mid-2017, foundry growth is now rebounding.

Chart 4 compares 3/12 (3-month) growth rates of global semiconductor and semiconductor equipment sales to chip foundry sales. The foundry 3/12 has historically led semiconductors and SEMI equipment and is pointing to a coming cyclical upturn. It will be interesting to see how China’s semiconductor industry buildup impacts this historical foundry leading indicator’s performance.

Walt Custer Chart 4

Passive Component Shortages and Price Increases

Passive component availability and pricing are currently major issues. Per Chart 5, Quarter 1 2018 passive component revenues increased almost 25 percent over the same period last year. Inadequate component supplies are hampering many board assemblers with no short-term relief in sight.

Walt Custer Chart 5

Peeking into the Future

Looking forward, the global purchasing managers index (a broad leading indicator) has moderated but is still well in growth territory.

Walt Custer Chart 6

The world business outlook remains positive but requires continuous watching!

Walt Custer of Custer Consulting Group is an  analyst focused on the global electronics industry.

Originally published on the SEMI blog.

By Jay Chittooran

Jonathan Davis 3Testifying before a U.S. interagency panel weighing trade tariffs against China, a representative from the semiconductor manufacturing industry yesterday called for the removal of more than 100 products from the list of proposed tariffs, stressing that an escalation of the U.S.-Sino dispute could trigger a full-blown trade war and hasten deep, unintended damage including higher consumer prices, an expanded U.S. trade deficit, and a slowdown in U.S. economic growth.

Jonathan Davis, global vice president of industry advocacy at SEMI, the global association representing the electronics manufacturing supply chain, threw the industry’s weight behind protections for valuable intellectual property. But Davis argued that “if implemented as proposed, these tariffs will potentially cost tens of millions annually in additional taxes and lost revenue owing to reduced exports, threaten thousands of high-paying U.S. jobs, and not solve U.S. concerns with China.” Davis said the undue harm will ultimately undercut the ability of U.S. chipmakers to sell overseas, stifling innovation and curbing U.S. technological leadership.

In testimony at the hearing before the government panel that included representatives from the U.S. Trade Representative (USTR), Departments of Treasury, Commerce, State and Defense, and the Council of Economic Advisers, Davis explained that more than 100 lines – products defined for the purpose of setting import duties – of the proposed tariffs would hamstring the semiconductor supply chain. The tariff lines include fundamental components of the semiconductor manufacturing process that are oxygen for the chip industry. As part of his testimony, Davis also submitted comments on the impact of the tariffs.

Charles Gray, general counsel at Teradyne, who also testified at the hearing, explained that the tariffs will threaten growth while penalizing U.S. companies with supply chains that touch China. Gray and Davis were among more than 100 industry leaders who provided more than 3,000 comments in the May 15-17 hearing to evaluate the impact and efficacy of the proposed tariffs.

The hearing followed the Trump administration’s heated, longstanding criticism of China for what it considers unfair trade practices, focusing specifically on intellectual property violations. In recent months, the administration has begun implementing trade actions against China that will increase tariffs, restrict cross-border investment, and introduce significant uncertainty for U.S. businesses.

The Section 301 investigation that determined China’s forced transfer of technology and intellectual property discriminated against U.S. firms prompted a proposed 25 percent tariff on $50 billion in U.S. imports from China – a punitive measure that would squarely hit the semiconductor manufacturing industry.

SEMI continues to educate policymakers on the deep damage tariffs would exact on the long-term health of the semiconductor industry and the critical importance of balanced trade to the future of the semiconductor industry.

For more information on trade or how to participate in SEMI’s public policy program, please contact Jay Chittooran, SEMI public policy manager, at [email protected].

For the 20th year, a worldwide survey of semiconductor manufacturers has resulted in Plasma-Therm winning multiple awards for its systems and superior customer service.

In the annual Customer Satisfaction Survey conducted by VLSIresearch, Plasma-Therm earned a total of five awards, including two “RANKED 1st” awards. Plasma-Therm earned the highest scores of all companies in two award categories, “Etch & Clean Equipment” and “Focused Suppliers of Chip Making Equipment.”

Survey participants are asked to rate semiconductor equipment suppliers in 15 categories based on supplier performance, customer service, and product performance.

“The achievement of two ‘RANKED 1st’ awards and five awards overall is very gratifying” Plasma-Therm CEO Abdul Lateef said. “While we continue to expand our product and application portfolio, we never lose our focus on providing the best service and support. We are working harder than ever to ensure success for all our customers, from small institutions and start-ups to specialty fabs and high-volume manufacturers.”

In THE BEST Suppliers of Fab Equipment, which includes specialized manufacturers like Plasma-Therm as well as the world’s largest equipment makers, Plasma-Therm ranked higher than every other company besides ASML, the world’s largest maker photolithography supplier. Plasma-Therm also was ranked higher than all other suppliers besides ASML in THE BEST Suppliers of Fab Equipment to Specialty Chip Makers.

With this year’s awards, Plasma-Therm now has received a total of 42 awards over 20 years of participation in the Customer Satisfaction Survey. VLSIresearch received feedback from more than 94 percent of the chip market in this year’s survey, which was conducted over 2-1/2 months and in five languages. Here is the full list of awards earned by Plasma-Therm in the 2018 Customer Satisfaction Survey:

• RANKED 1st in FOCUSED SUPPLIERS OF CHIP MAKINGEQUIPMENT • RANKED 1st in ETCH & CLEAN EQUIPMENT
• 10 BEST FOCUSED SUPPLIERS OF CHIP MAKING EQUIPMENT
• THE BEST SUPPLIERS OF FAB EQUIPMENT

• THE BEST SUPPLIERS OF FAB EQUIPMENT TO SPECIALTY CHIP MAKERS About Plasma-Therm

Established in 1974, Plasma-Therm is a manufacturer of advanced plasma processing equipment for specialty semiconductor markets, including advanced packaging, wireless communication, photonics, solid-state lighting, MEMS/NEMS, nanotechnology, renewable energy, data storage, photomask, and R&D. Plasma-Therm offers etch and deposition technologies and solutions for these markets.

3D-Micromac AG, a supplier of laser micromachining and roll-to-roll laser systems for the photovoltaic, medical device and electronics markets, today announced it has received an order for the company’s new microMIRA excimer laser lift-off (LLO) system from dpiX, a leading manufacturer of high-resolution digital sensors. The microMIRA system will be shipped to dpiX’s fab in Colorado Springs, Colo., where it will provide laser lift-off processing from Gen 4.5 glass substrates used in manufacturing X-ray sensors for medical, industrial and military applications.

The new microMIRA excimer laser lift-off system from 3D-Micromac provides highly uniform, force-free lift-off of flexible layers on large surface areas and at high speeds.

The new microMIRA excimer laser lift-off system from 3D-Micromac provides highly uniform, force-free lift-off of flexible layers on large surface areas and at high speeds.

3D-Micromac’s new microMIRA laser lift-off system provides highly uniform, force-free lift-off of flexible layers on large surface areas and at high speeds (up to 500 wafers/hour and up to 200 sheets/hour on Gen 6 substrates depending on the application). The system is built on a highly customizable platform that can incorporate different laser sources, wavelengths and beam paths to meet each customer’s unique requirements.

The microMIRA system can be used for a variety of applications, such as device lift-off from glass and sapphire substrates in semiconductor manufacturing as well as organic light emitting diode (OLED) and microLED display manufacturing. Additional applications include laser annealing and crystallization for surface modification, including printed electronics such as near-field communication (NFC) sensors and tags.

“In evaluating various laser approaches for our manufacturing needs, 3D-Micromac’s microMIRA laser lift-off system provided the best possible combination of cost of ownership, throughput and uniformity results,” stated Frank Caris, President and CEO of dpiX. “We look forward to installing this system in our production fab for use in manufacturing our latest silicon-based X-ray sensor arrays.”

In addition to its high configurability, speed and uniformity, 3D-Micromac’s microMIRA laser lift-off system provides many other benefits, including:

  • Force-free and extremely selective laser processing
  • No damage due to thermo-mechanical effects
  • Low production costs, including the ability to recycle/reuse carrier substrates
  • Elimination of costly and polluting wet chemical processes
  • Easy integration of adjacent manufacturing steps for greater fab productivity

“Our new microMIRA laser lift-off system takes advantage of the extensive process and applications knowledge we have built up from the more than 400 3D-Micromac laser systems installed and in use worldwide to date, including dozens of excimer laser systems used for display and microelectronics manufacturing,” stated Uwe Wagner, 3D-Micromac’s chief technology officer. “We look forward to closer engagement with dpiX to explore new opportunities and applications that can benefit from our laser products, processes and expertise.”

By Emir Demircan

SEMI Position on the European Commission’s Proposal for a Regulation Establishing a Framework for Screening Foreign Direct Investments into the European Union

In response to the European Commission’s (EC) proposed framework for screening foreign direct investments (FDI), SEMI, representing the global electronics manufacturing supply chain, offers three recommendations for consideration by EU policymakers:

To support the sophisticated global ecosystem of semiconductor manufacturers, the EU should remain open to global investment. More efforts should be made to form trade and investment agreements that support European businesses’ access to foreign markets.

The global micro- and nano-electronics (MNE) industry consists of organizations specializing in research, design, equipment, materials, semiconductor manufacturing, assembly and applications – a complex global ecosystem that contributes 2 trillion USD (SEMI data) to the world economy. With its production of smaller, faster, more reliable products with higher performance, the MNE industry is one of the world’s most capital- and research-intensive sectors. Today, a state-of-the-art semiconductor manufacturing fab can easily cost billions of euros and might require international investment to deliver cutting-edge solutions.

Europe’s MNE industry plays a pivotal role in this global value chain through its investments in emerging technologies such as autonomous driving, smart healthcare, artificial intelligence and industrial automation. The region’s MNE industry features leading electronics manufacturing equipment and materials businesses, world-class research and development (R&D) and educational institutions, and vital semiconductor manufacturing hubs that are home to multinationals headquartered both inside and outside of the EU.

In the proposed framework, the EU recognizes that FDI is an important engine of economic growth, jobs and innovation. Its work to maintain a climate of open investment and connect European businesses with leading innovators and investors around the world has laid the groundwork for the success of European industrial technologies sector. These efforts have set an example for rich cross-border business relations even in the face of rising protectionist practices around the world.

The proposed EC regulation aims to establish an EU-level framework for exchanging information related to a broad range of technologies between the EC and Member States, and to assess, investigate, authorize, condition, prohibit, or unwind FDI in certain technologies on the grounds of security or public order. EU policymakers should bear in mind that a new EU-level FDI screening mechanism must be implemented very carefully. Stakeholders must clearly understand how FDI can pose a threat to security and public order in the EU.

Only transparent and precise definitions of FDI, security and public order and a limited scope of targeted technologies can provide the regulatory certainty for the EU to remain an attractive destination for foreign investors and European investees alike. On the contrary, unclear regulations could sow insecurity amongst potential investors, leading to delays or cancellation of much-needed investments and choking access to finance in capital-intensive sectors such as MNE.

MNE is a key enabling technology and advances in semiconductors enable market adoption of game-changing technologies such as artificial intelligence. The EU should ensure that future regulations do not cause lock-in effects or limit the growth of key technologies in Europe.

In the interest of security and public order, the proposed EU regulation permits Member States and the EC to screen FDI in critical infrastructure such as energy, transportation, communications and critical technologies including semiconductors, artificial intelligence and cybersecurity.  While it might be easier to screen critical infrastructure and the large-scale public services it provides for potential threats in security and public order, applying the same FDI filter to critical technologies can be extremely challenging.

Semiconductors are embedded in virtually all smart devices and systems including computers, mobile phones, cars, and aircraft. The ubiquity of chips raises the prospect that FDI in European smart technologies – and the supply chain that develops them – could be subject to screening. This level of regulatory oversight is likely to hamper not only EU’s competitiveness in key enabling technologies such as MNE but also ever-evolving applications including artificial intelligence. Also, the proposed screening framework calls for the assessment of FDI risks to security or public order by determining if an investor is controlled by foreign governments through “significant funding.” In the context of FDI, differentiating between state and private actors in other countries can be extremely challenging or even impossible, and the term “significant funding” is not clearly defined. Under this light, SEMI recommends:

  1. Defining a limited scope with clear conditions, explaining in quantitative and qualitative terms how FDI in key enabling technologies can threaten public order and security, and
  2. Introducing criteria that identifies whether an FDI leads to market distortions in Europe because a government investment program is not aligned with EU state-aid rules.

FDI is a powerful tool to support economic growth and competitiveness. Many Member States already screen FDI on the grounds of security and public order. Future regulations should ensure that additional screening neither duplicates national and EU-level assessments nor hampers Member States’ competitiveness.

Under the proposed regulation, the EC could screen FDI at the Union level. However, because many Member States already have detailed screening procedures in place to protect national security and public order, the draft regulation could increase red tape by duplicating administrative processes and regulations at the national and EU levels. Policymakers should keep in mind that FDI must in principle remain a national competence, with each Member State establishing its own national policy aimed at attracting FDI and supporting its economic growth. Many Member States compete to increase their share of EU FDI in key technologies that underpin national economic growth. Likewise, international investors already subject each Member State to their own investment criteria before making significant FDI decisions. Any proposed regulation that pushes Member States to share national-level FDI information could dilute successful FDI policies of some Member States and hamper the EU’s overall competitiveness.

Emir Demircan is Senior Manager Public Policy at SEMI Europe. Contact Emir at [email protected] , 0032484903114. 

Originally published on the SEMI blog.

IC Insights will release its May Update to the 2018 McClean Report later this month.  This Update includes a discussion of the 1Q18 IC industry market results, an update of the 2018 capital spending forecast by company, and a look at the top-25 1Q18 semiconductor suppliers (the top-15 1Q18 semiconductor suppliers are covered in this research bulletin).

The top-15 worldwide semiconductor (IC and O-S-D—optoelectronic, sensor, and discrete) sales ranking for 1Q18 is shown in Figure 1.  It includes eight suppliers headquartered in the U.S., three in Europe, two in South Korea, and one each in Taiwan and Japan.  After announcing in early April 2018 that it had successfully moved its headquarters location from Singapore to the U.S. IC Insights now classifies Broadcom as a U.S. company.

The top-15 ranking includes one pure-play foundry (TSMC) and four fabless companies.  If TSMC were excluded from the top-15 ranking, Taiwan-based fabless supplier MediaTek ($1,696 million) would have been ranked in the 15th position.

IC Insights includes foundries in the top-15 semiconductor supplier ranking since it has always viewed the ranking as a top supplier list, not a marketshare ranking, and realizes that in some cases the semiconductor sales are double counted.  With many of our clients being vendors to the semiconductor industry (supplying equipment, chemicals, gases, etc.), excluding large IC manufacturers like the foundries would leave significant “holes” in the list of top semiconductor suppliers.  As shown in the listing, the foundries and fabless companies are identified.  In the April Update to The McClean Report, marketshare rankings of IC suppliers by product type were presented and foundries were excluded from these listings.

Overall, the top-15 list shown in Figure 1 is provided as a guideline to identify which companies are the leading semiconductor suppliers, whether they are IDMs, fabless companies, or foundries.

Figure 1

Figure 1

In total, the top-15 semiconductor companies’ sales surged by 26% in 1Q18 compared to 1Q17, six points higher than the total worldwide semiconductor industry 1Q18/1Q17 increase of 20%.  Amazingly, the Big 3 memory suppliers—Samsung, SK Hynix, and Micron, each registered greater than 40% year-over-year growth in 1Q18. Fourteen of the top-15 companies had sales of at least $2.0 billion in 1Q18, four companies more than in 1Q17. As shown, it took just over $1.8 billion in quarterly sales just to make it into the 1Q18 top-15 semiconductor supplier list.

Intel was the number one ranked semiconductor supplier in 1Q17 but lost its lead spot to Samsung in 2Q17 as well as in the full-year 2017 ranking, a position it had held since 1993.  With the continuation of the strong surge in the DRAM and NAND flash markets over the past year, Samsung went from having 5% less total semiconductor sales than Intel in 1Q17 to having 23% more semiconductor sales than Intel in 1Q18!

It is interesting to note that memory devices represented 83% of Samsung’s semiconductor sales in 1Q18, up six points from 77% in 1Q17 and up 12 points from 71% just two years earlier in 1Q16.  Moreover, the company’s non-memory sales in 1Q18 were only $3,300 million, up 6% from 1Q17’s non-memory sales level of $3,125 million.

As would be expected, given the possible acquisitions and mergers that could occur this year (e.g., Qualcomm/NXP), as well as any memory market volatility that may develop, the top-15 ranking is likely to undergo a significant amount of upheaval over the next few years as the semiconductor industry continues along its path to maturity.

Sciaky, Inc., a subsidiary of Phillips Service Industries, Inc. (PSI) and provider of metal additive manufacturing (AM) solutions, announced today that it has received an order for multiple Electron Beam Additive Manufacturing (EBAM®) systems to bolster the nation’s defense and power generation programs. Details of the project are confidential.

A Sciaky EBAM 110 System. (PRNewsfoto/Sciaky, Inc.)

A Sciaky EBAM 110 System. (PRNewsfoto/Sciaky, Inc.)

“Sciaky has a long history of providing innovative solutions to America’s military and power generation initiatives,” said Scott Phillips, President and CEO of Sciaky, Inc. “Our EBAM process is the only industrial-grade metal 3D printing technology to produce large-scale parts for land, sea, air, and space applications.”

As the most widely scalable metal additive manufacturing solution in the industry (in terms of work envelope), Sciaky’s EBAM systems can produce parts ranging from 8 inches (203 mm) to 19 feet (5.79 meters) in length. EBAM is also the fastest deposition process in the metal additive manufacturing market, with gross deposition rates ranging from seven to 25 lbs. (3.18 to 11.34 kg) of metal per hour. EBAM brings quality and control together with IRISS® – the Interlayer Real-time Imaging and Sensing System, which is the only real-time adaptive control system in the metal 3D printing market that can sense and digitally self-adjust metal deposition with precision and repeatability. This innovative closed-loop control is the primary reason that Sciaky’s EBAM 3D printing process delivers consistent part geometry, mechanical properties, microstructure, and metal chemistry, from the first part to the last.