Category Archives: Wafer Processing

Total fab equipment spending in 2019 is projected to drop 8 percent, a sharp reversal from the previously forecast increase of 7 percent as fab investment growth has been revised downward for 2018 to 10 percent from the 14 percent predicted in August, according to the latest edition of the World Fab Forecast Report published by SEMI.

Entering 2018, the semiconductor industry was expected to show a rare fourth consecutive year of equipment investment growth in 2019. But the SEMI World Fab Forecast Report, tracking more than 400 fabs and lines with major investment projects, forecast in August a slowdown in the second half of 2018 and into the first half of 2019. Now, with recent industry developments, a steeper downturn in fab equipment is expected (Figure 1).

Figure 1

The report shows overall spending down 13 percent in the second half of 2018 and 16 percent in the first half of 2019 with a strong increase in fab equipment spending expected in the second half of 2019.

Plunging memory prices and a sudden shift in companies’ strategies in response to trade tensions are driving rapid drops in capital expenditures, especially among leading-edge memory manufacturers, some fabs in China, and some projects for mature nodes such as 28nm. Industry sectors expecting record-breaking growth in 2019, such as memory and China, are now leading the decline.

Following a sharp fall in NAND flash pricing earlier this year, DRAM prices in the fourth quarter of 2018 began to soften, seemingly ending the two-year DRAM boom. Inventory corrections and CPU shortages continue, prompting predictions of even steeper price declines.

Memory makers have quickly responded to changing market conditions by adjusting capital expenditures (capex), and tool orders have been put on hold. DRAM spending may see an even deeper correction in 2019 while NAND flash-related investment could also suffer a double-digit decline next year.

A review of spending by industry sector reveals that, while memory capital expenditures were expected to grow by 3 percent in 2019, they are now forecast to drop by 19 percent year-over-year (YOY). DRAM is hit the hardest with a fall of 23 percent, while 3D NAND will contract 13 percent in 2019.

China and Korea are suffering the largest drops in spending since the August report.

China fab spending falls

Projections for equipment spending in China in 2019 have been revised from US$17 billion in August to US$12 billion, with multiple factors at play including a slowing memory market, trade tensions, and delays in some project timelines.

SK Hynix is expected to slow DRAM expansion in 2019. GLOBALFOUNDRIES reconsidered its plan for the Chengdu fab, delaying the ramp. SMIC and UMC are slowing spending. The Fujian Jinhua DRAM project has been put on hold.

Korea fab spending down

In August, SEMI forecast that Korea fab equipment spending would decline by 8 percent, to US$17 billion, in 2019 – a projection that has now been slashed to US$12 billion, a drop of 35 percent YoY. Samsung began to reduce equipment investments in the fourth quarter of 2018, and the spending cuts are expected to continue into the first half of 2019. Samsung’s largest projects to be hit are P1 (slowdown) and the ramp of P2 Phase 1 (delayed). Adjustments to the S3 schedule are also expected.

Not all memory makers cut capital expenditures

While SEMI’s detailed, fab-level data show that some memory makers will scale back capital expenditures for 2019, one company stands out. Micron will increase capex for FY19 to US$10.5 billion, up about 28 percent, or $8.2 billion, from FY18. Micron plans to expand and upgrade facilities, invest less in NAND in FY19 than in FY18, and anticipates no new wafer starts.

Outlook still upbeat for mature technologies

In other sectors, especially for non-leading-edge and specialty technologies, some fabs are still increasing investments (Figure 2).

Figure 2

Opto – especially CMOS image sensors – shows strong growth, surging 33 percent to US$3.8 billion in 2019. Micro (MPU, MCU and DSP) is expected to grow more than 40 percent in 2019 to US$4.8 billion. Analog and mixed signal investments also show strong growth – 19 percent – in 2019, bringing spending to US$660 million. The foundry sector, the second largest product segment in total investments at US$13 billion, shows a 10 percent rise in 2019.

The recent three-year boom in the semiconductor market was chiefly driven by the memory sector (e.g. DRAM and 3D NAND flash). One company, Samsung, invested at unprecedented levels, lifting the entire industry. Other memory makers rode the wave of the boom cycle by boosting investments. And China’s profile rose with its huge investments. The industry was poised for four consecutive years of revenue growth – a streak not seen since the 1990s.

Now the industry faces well-known threats of inventory correction and the trade war. Both phenomena could slow growth significantly and if both unfold in full force in tandem, the impact could be serious. The data in SEMI’s latest publication of the World Fab Forecast show that the four-year growth streak will not materialize.

Since its August 2018 publication, more than 260 updates have been made to the World Fab Forecast. The report now includes more than 1,280 records of current and 115 future front-end semiconductor facilities from high-volume production to research and development. The report covers data and predictions through 2019, including milestones, detailed investments by quarter, product types, technology nodes and capacities down to fab and project level.

The SEMI World Fab Forecast examines capital expenditure plans of individual front-end device manufacturers, while the SEMI bi-annual Semiconductor Equipment Sales Forecast is based on year-to-date data collected from equipment manufacturers and modeled off of announced capital expenditure plans of both front-end and back-end equipment manufacturers.

IC Insights is in the process of revising its forecast and analysis of the IC industry and will present its new findings in The McClean Report 2019, which will be published in January 2019.  Among the revisions is a complete update of forecast growth rates of the 33 main product categories classified by the World Semiconductor Trade Statistics organization (WSTS) through the year 2023.

Topping the chart of fastest-growing products for 2018 is DRAM, which comes as no surprise given the strong rise of average selling prices in this segment over the past two years (Figure 1).  The 2018 DRAM market is expected to show an increase of 39%, a solid follow-up to the 77% growth in 2017. The number-one position is not unfamiliar territory for the DRAM market.  It was also the fastest-growing IC segment in 2013 and 2014.

Figure 1

Remarkably, DRAM has been at the top and near the bottom of this list over the past six years, demonstrating its very volatile and cyclical nature.  IC Insights forecasts that DRAM will rank nearly last in terms of market growth in 2019, with a 1% decrease in total sales.  After two strong years of growth, Samsung, SK Hynix, and Micron—the world’s three primary DRAM suppliers—have expanded their manufacturing capacity and are beginning to ramp up production, bringing some much needed relief to strained supplies, especially for high-performance DRAM devices. At the same time, shipments of large-scale datacenter servers, which were a primary catalyst for much of the recent DRAM market surge, have begun to ease as uncertain economic and trade conditions factor into decisions about continuing with the strong build out.

NAND flash joins DRAM as another memory segment that has enjoyed very strong growth over the past two years (Figure 2).  Solid-state computing, particularly, has been a key driver for high-density, high-performance NAND flash even as mobile applications continue to be a significant driver. Meanwhile, automotive and computing special purpose logic devices have also been strong performers the past two years.  The top five IC markets listed for 2018 are the only product categories that are expected to surpasses the 17% growth rate of the total IC market this year.

Figure 2

The full list of IC product rankings and forecasts for the 2019-2023 timeperiod is included in The McClean Report 2019, which will be released in January 2019.

ClassOne Technology, global supplier of wet processing equipment for ≤200mm semiconductor manufacturing, announced the sale of three more tools to the Ferdinand-Braun-Institut, Leibniz-Institut für Höchstfrequenztechnik (FBH) in Berlin, Germany. In recent weeks, FBH ordered ClassOne’s high-performance 8-chamber Solstice® electroplating system. Now FBH is ordering three additional wet processing tools from ClassOne, including a refurbished Semitool®Spray Solvent Tool (SST), a Semitool Spray Acid Tool (SAT) and a Trident Spin Rinse Dryer (SRD). FBH is one of the world’s leading research institutes and a producer of III-V compound semiconductors, known for prototyping advanced microwave and optoelectronic devices for communications, energy, health, mobility, and more.

“We’ve put our trust in ClassOne for our entire wet processing line,” said Olaf Krüger, Head of FBH’s Process Technology Department. “They understand the special requirements of compound semiconductor manufacturers like us. ClassOne provides state-of-the-art automated processing tools for 100mm and smaller wafers that allow for high process reproducibility during R&D of novel compound semiconductor devices and ensure compatibility to industrial standards. Plus, they’ve put together a support operation right here in Europe to provide us with everything we need.”

“We see these follow-on orders from FBH as a real vote of confidence, and one that we value highly,” said ClassOne’s CEO Byron Exarcos. “Europe is an important market for us. Which is why we’ve invested heavily to build a world-class customer support structure here, including a strong, experienced process engineering team and a seasoned field service and support group that’s able to cover everything our customers might need, up to and including an extensive inventory of spare parts. So, it’s extremely gratifying to see our European customers really recognizing and making use of our local capabilities.”

“The investment is also paying off in terms of the market share gains we’re seeing,” said Roland Seitz, Director of ClassOne’s European Operations. “European customers continue to tell me ClassOne has moved into leading-supplier position for plating and wet processing equipment for 200mm wafers and below. It’s been the result of high-performance equipment combined with strong customer support and affordable prices. Going forward, the European sales of Solstice plating systems and associated tools are growing at a very rapid pace.”

By Walt Custer

Global growth by electronic sector

Now that most companies in our sector analyses have reported their calendar third quarter 2018 financial results, we have final or 3Q’18/2Q’17 growth estimates for the world electronic supply chain (Chart 1). We estimate electronic equipment grew 6.7% on a U.S. dollar-denominated basis.

Source: Custer Consulting Group based on consolidated financial reports of public companies

Electronic equipment growth has peaked for this current business cycle (Chart 2), dropping from +11.1% in the second quarter to 6.7% in the third quarter. Most of the supply chain is responding to this slowing.

Semiconductors, SEMI equipment an Taiwan chip foundries

While the most recent growth rates in Charts 1 & 2 are for the third quarter, October and November growth is included in Chart 3.  Foundry growth was +4.6% in November, world semiconductor shipments eased to +12.7% in October and SEMI capital equipment slipped to +10% also in October. The days of the +30% growth rates are behind us for this current business cycle!

Sources: SIA; SEMI; financial reports of Taiwan listed foundry companies

Global semiconductor growth outlook for 2019

The World Semiconductor Trade Statistics Organization in conjunction with the SIA just updated the chip shipment forecasts for 2018 and 2019 (Chart 4). World semiconductor shipments were estimated to have climbed 15.9% (in U.S. dollars) in 2018 but are predicted to slow to a +2.6% rate in 2019.

Source: www.wsts.org, www.semiconductors.org

Looking forward

The Global Manufacturing PMI (Chart 5) leveled out in November but remained well below its December 2017 high.  This translates to a slower but still positive world expansion in the short term. By region (Chart 6), U.S. growth remains robust, Japan picked up, Europe continues to decelerate, China is near zero growth and Taiwan and South Korea are contracting.

Source: www.markiteconomics.com

ll eyes are on the global economy, Brexit, trade wars and bizarre political wrangling. 2019 could be a very volatile year!

Walt Custer of Custer Consulting Group is an analyst focused on the global electronics industry.

Releasing its Year-End Total Equipment Forecast at the annual SEMICON Japan exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 9.7 percent to $62.1 billion in 2018, exceeding the historic high of $56.6 billion set last year. The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion, an all-time high.

The SEMI Year-end Forecast predicts wafer processing equipment will rise 10.2 percent in 2018 to $50.2 billion. The other front-end segment – consisting of fab facilities equipment, wafer manufacturing, and mask/reticle equipment – is expected to increase 0.9 percent to $2.5 billion this year. The assembly and packaging equipment segment is projected to grow 1.9 percent to $4.0 billion in 2018, while semiconductor test equipment is forecast to increase 15.6 percent to $5.4 billion this year.

In 2018, South Korea will remain the largest equipment market for the second year in a row. China will rise in the rankings to claim the second spot for the first time, dislodging Taiwan, which will fall to the third position. All regions tracked except Taiwan, North America, and Korea will experience growth. China will lead in growth with 55.7 percent, followed by Japan at 32.5 percent, Rest of World (primarily Southeast Asia) at 23.7 percent, and Europe at 14.2 percent.

For 2019, SEMI forecasts that South Korea, China, and Taiwan will remain the top three markets, with all three regions maintaining their relative rankings. Equipment sales in South Korea is forecast to reach $13.2 billion, in China $12.5 billion, and in Taiwan $11.81 billion. Japan, Taiwan and North America are the only regions expected to experience growth next year. The growth picture is much more optimistic in 2020, with all regional markets expected to increase in 2020, with the market increasing the most in Korea, followed by China, and Rest of World.

The following results are in terms of market size in billions of U.S. dollars:

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market. A subscription includes three reports:

  • Monthly SEMI Billings Report, an early perspective of the trends in the equipment market
  • Monthly Worldwide Semiconductor Equipment Market Statistics (SEMS), a detailed report of semiconductor equipment bookings and billings for seven regions and over 22 market segments
  • SEMI Mid-Year Forecast, an outlook for the semiconductor equipment market

Rudolph Technologies, Inc. (NYSE: RTEC) today announced the receipt of over $12M in new orders for its recently-released NovusEdge™ system for edge and backside inspection on bare silicon wafers. The new orders are for capacity expansions at our existing customers as well as orders from two additional large wafer manufacturers based in Asia. These orders are in addition to the $3M previously announced and will ship throughout calendar year 2019.

“With these orders Rudolph has expanded its customer base to include the top wafer suppliers with over 75 percent of the bare wafer market share,” said Mike Plisinski, Rudolph Technologies’ CEO. “We believe the early acceptance of these new systems is being driven by the accelerating demand for high-quality bare silicon wafers for sub 20nm nodes. To meet that demand, customers require an inspection solution with increased sensitivity on the wafer edge and backside. The Rudolph team worked closely with bare wafer manufacturers in order to introduce a new product with compelling capabilities and value of ownership. As the rate of die shrinks slows at the advanced nodes, more wafers are required to make enough die to meet growing market demand, especially for advanced memory and logic chips.”

Chips and micro-fractures at the edge readily propagate as cracks into active areas of the wafer, especially when the wafer is thinned. Backside contamination can distort the frontside of the wafer during lithography, consuming focus budgets that are already thin and will become even thinner with the adoption of EUV lithography.

Edge and backside inspection have requirements that are very different from conventional frontside inspection. The NovusEdge EBI (Edge, Backside Inspection) system’s image-based approach provides full coverage of near-edge, bevel and apex regions, both top and bottom. Compared to existing technology, it is faster, more sensitive and better at classifying types of defects, especially for the complex shapes and curvatures at the notch. Backside inspection can use the same light scattering techniques used on the frontside but requires special handling capabilities to avoid contacting or contaminating the frontside. In all cases, sophisticated software provides analysis and automatic defect classification.

SEMICON Japan 2018, the largest and most influential event for the electronics manufacturing supply chain in Japan with more than 70,000 attendees expected, opens tomorrow at Tokyo Big Sight. Themed “Dreams Start Here,” The Dec. 12-14 exposition and conference gathers industry leaders and visionaries for insights into the latest technologies, innovations and trends in the electronics industry, including emerging opportunities in SMART applications and the all-new SMART Application Zone.

With artificial intelligence (AI) and Internet of Things (IoT) transforming industries and applications, Japan is uniquely positioned to meet the electronics industry’s new demands with a strong customer basis in automotive and robotics, and considerable 200mm and smaller wafer fab capacity for the MCU, logic, power, and MEMS and sensor devices key to SMART applications.

The SuperTHEATER highlights SEMICON Japan with seven forums in three days:

  • Opening keynotes on an “Alternative Future Envisioned by New Leaders” feature Motoi Ishibashi, CTO at Rhizomatiks, and Toru Nishikawa, president and CEO at Preferred Networks
  • Semiconductor Executive Forum with “Executive Viewpoints from Three Top SMART Era Companies: Toshiba Memory, GLOBALFOUNDIRES and Qualcomm
  • SEMI Market Forum, “Growing China and Global Semiconductor Ecosystem,” with presenters from IHS Markit and SEMI
  • SMART Transportation Summit, “Future Created by SMART Innovation,” with executives from Toyota, Honda, Denso, Bosch and Infinion
  • SMART Technology Forum, “The Front Line of AI,” with speakers from The University of Tokyo, Microsoft, Amazon Web Services and DefinedCrowd
  • Manufacturing Innovation Forum, “The Front Line of EUV lithography,” with ASML, Carl Zeiss and Xilinx
  • Mirai Vision Forum, “Technology and the Future of the Body,” with speakers from Leave a Nest, MELTIN MMI and Man-Machine Synergy Effectors

SMART Application Zone

On the SEMICON Japan show floor, 70 companies will exhibit in the new SMART Application Zone in East Hall 3. Connecting SMART industries with the semiconductor supply chain, the SMART Application Zone will showcase emerging technologies and vertical product applications generating new semiconductor demand across SMART Transportation and SMART Manufacturing. Key exhibitors include:

  • SMART Transportation – Bosch, Tesla Motors and Toyota Motor
  • SMART Manufacturing – IBM, Japan Semiconductor, Lapis Semiconductor, Microsoft, NEC, Preferred Networks, Sony, SAS and SIEMENS

Register now for SEMICON Japan. For a detailed agenda, please see the “SEMICON Japan Schedule-at-a-Glance.

GOWIN Semiconductor Corp., an innovator of programmable logic devices, announces the expansion of its global sales operations into the EMEA region. Based in the UK, the operation is managed by recently appointed Mike Furnival, Director of Sales, EMEA and General Manager of GOWIN Semiconductor (Europe). Previously, Mike Furnival held similar senior positions at XMOS Ltd. and Lattice Semiconductor UK Ltd.

“We are truly excited to be expanding our global sales activities into EMEA,” said Jason Zhu, CEO of GOWIN Semiconductor Corp. “Today, EMEA remains a very important territory for innovation, design, and quality product development, especially in the communication, industrial and automotive marketplaces. We are convinced that this expansion will significantly enhance GOWIN’s ability to demonstrate its leadership position in our fast-growing FPGA business and having Mike’s experience and knowledge onboard will ensure that our customers receive the best possible sales support activity.”

Newly appointed Mike Furnival added, “I am delighted to be joining GOWIN at such an interesting and important time in the Company’s development. I have been extremely impressed by what GOWIN has achieved thus far and am excited by the prospect of significantly contributing to its future success which I believe will be to the benefit of customers and partners alike.”

About GOWIN Semiconductor Corp.
Founded in 2014, Gowin Semiconductor Corp., headquartered with major R&D in China, has the vision to accelerate customer innovation worldwide with our programmable solutions. We focus on optimizing our products and removing barriers for customers using programmable logic devices. Our commitment to technology and quality enables customers to reduce the total cost of ownership from using FPGA on their production boards. Our offerings include a broad portfolio of programmable logic devices, design software, intellectual property (IP) cores, reference designs, and development kits. We strive to serve customers in the consumer, industrial, communication, medical, and automotive markets worldwide.

Billions of tiny transistors supply the processing power in modern smartphones, controlling the flow of electrons with rapid on-and-off switching.

But continual progress in packing more transistors into smaller devices is pushing toward the physical limits of conventional materials. Common inefficiencies in transistor materials cause energy loss that results in heat buildup and shorter battery life, so researchers are in hot pursuit of alternative materials that allow devices to operate more efficiently at lower power.

Now, an experiment conducted at the U.S. Department of Energy’s Lawrence Berkeley National Laboratory (Berkeley Lab) has demonstrated, for the first time, electronic switching in an exotic, ultrathin material that can carry a charge with nearly zero loss at room temperature. Researchers demonstrated this switching when subjecting the material to a low-current electric field.

The team, which was led by researchers at Monash University in Australia and included Berkeley Lab scientists, grew the material from scratch and studied it with X-rays at the Advanced Light Source (ALS), a facility at the U.S. Department of Energy’s Lawrence Berkeley National Laboratory (Berkeley Lab).

The material, known as sodium bismuthide (Na3Bi), is one of two materials that is known to be a “topological Dirac semimetal,” meaning it has unique electronic properties that can be tuned to behave in different ways – in some cases more like a conventional material and in other cases more like a topological material. Its topological properties were first confirmed in earlier experiments at the ALS.

Topological materials are considered promising candidates for next-generation transistors, and for other electronics and computing applications, because of their potential to reduce energy loss and power consumption in devices. These properties can exist at room temperature – an important distinction from superconductors that require extreme chilling – and can persist even when the materials have structural defects and are subject to stress.

Materials with topological properties are the focus of intense research by the global scientific community (see a related article), and in 2016 the Nobel Prize in physics was awarded for theories related to topological properties in materials.

The ease in switching the material studied at the ALS from an electrically conducting state to an insulating, or non-conducting state, bode well for its future transistor applications, said Sung-Kwan Mo, a staff scientist at the ALS who participated in the latest study. The study is detailed in the Dec. 10 edition of the journal Nature.

Another key aspect of the latest study is that the team from Monash University found a way to grow it extremely thin, down to a single layer arranged in a honeycomb pattern of sodium and bismuth atoms, and to control the thickness of each layer they create.

“If you want to make a device, you want to make it thin,” Mo said. “This study proves that it can be done for Na3Bi, and its electrical properties can easily be controlled with low voltage. We are a step closer to a topological transistor.”

Michael Fuhrer, a physicist at Monash University who participated in the study, said, “This discovery is a step in the direction of topological transistors that could transform the world of computation.”

He added, “Ultra-low energy topological electronics are a potential answer to the increasing challenge of energy wasted in modern computing. Information and communications technology already consumes 8 percent of global electricity, and that’s doubling every decade.”

In the latest study, researchers grew the material samples, measuring several millimeters on a side, on a silicon wafer under ultrahigh vacuum at the ALS Beamline 10.0.1 using a process known as molecular beam epitaxy. The beamline allows researchers to grow samples and then conduct experiments under the same vacuum conditions in order to prevent contamination.

This beamline is specialized for an X-ray technique known as angle-resolved photoemission spectroscopy, or ARPES, which provide information about how electrons travel in materials. In typical topological materials, electrons flow around the edges of the material, while the rest of the material serves as an insulator that prevents this flow.

Some X-ray experiments on similar samples were also performed at the Australian Synchrotron to demonstrate the ultrathin Na3Bi was free-standing and did not chemically interact with the silicon wafer it was grown on. Researchers had also studied samples with a scanning tunneling microscope at Monash University that helped to confirm other measurements.

“In these edge paths, electrons can only travel in one direction,” said Mark Edmonds, a physicist at Monash University who led the study. “And this means there can be no ‘back-scattering,’ which is what causes electrical resistance in conventional electrical conductors.”

In this case, researchers found that the ultrathin material became fully conductive when subjected to the electric field, and could also be switched to become an insulator across the entire material when subjected to a slightly higher electric field.

Mo said that the electrically driven switching is an important step to realizing applications for materials – some other research efforts have pursued mechanisms like chemical doping or mechanical strain that are more challenging to control and to perform the switching operation.

The research team is pursuing other samples that can be switched on and off in a similar way to guide the development of a new generation of ultralow-energy electronics, Edmonds said.

Microtronic, Inc., a maker of high-speed full-wafer semiconductor macro defect inspection systems, wants to shed new light on a topic that is frequently misunderstood in the industry: macro vs. micro inspection. The company is releasing a new series of free informational tech bulletins entitled Macro Intelligence, addressing the often-underutilized capabilities of macro defect wafer inspection and how fabs can best use them to improve their total wafer defect management. Anyone may request to receive the new bulletins.

“In today’s fabs we still see confusion about the relative roles of macro and micro wafer inspection,” said Reiner Fenske, Microtronic’s CEO. “Many people still think that macro and micro are basically trying to do the same job, when actually they’re doing two very differentjobs — each very important and each complementary to the other.”

“Here’s the fundamental challenge,” said Fenske. “As critical dimensions and killer defects get smaller, micro inspection requires ever increasing magnification and resolution — and longer inspection times. Which is why micro inspections are usually limited to just a small part of the semiconductor wafer and on a small sampling of wafers, perhaps only one or two from a lot. Unfortunately, that leaves a great deal of wafer real estate uninspected!”

“And that’s the big void that automated macro wafer inspection can fill,” said Errol Akomer, Applications Director at Microtronic. “Today’s generation of ultra high-speed semiconductor macro defect wafer inspection systems, such as our EagleView, can now capture full-wafer, high-resolution images of every wafer in the lot within a few minutes, without needing recipes. So fabs can now do 100% macro defect wafer inspections after many more process steps, to catch defects that otherwise would have been missed — random defects, intermittent process or tool issues and a great deal more.”

Akomer noted that automated macro inspection not only detects more defects, it also recordswafer images and defect information in a database that can be reviewed long after the wafers have shipped. This database can supply extremely valuable information to each subsequent processing step and inspection, and it provides a way to find root causes of infrequent issues and excursions. And, importantly, it can also integrate defect data from manual microscopic as well as automated micro inspections — to become an extremely valuable resource for end-of-line inspection. This can significantly improve the quality and completeness of final inspections and reduce the number of hidden defects that escape into the field.

The first of the new Macro Intelligence e-bulletins dealt with the issue of “disappearing” latent defects that can get covered over by subsequent processing steps and become difficult to detect at later inspections. The second bulletin discussed the problem of partially compromised die that can slip through final electrical testing and become reliability problems in the field.

“Bottom line, these new e-mail tech bulletins aim to provide useful information,” said Akomer. “They’re about helping fabs to optimize their defect inspection protocols and improve yields. Each e-bulletin is intentionally brief, to the point, and a quick read. So far, people are telling us they like them!”