Category Archives: Wafer Processing

The Global Semiconductor Alliance (GSA) is proud to announce the award recipients honored at the 2018 GSA Awards Dinner Celebration that took place last evening in Santa Clara, California. For almost a quarter century, the GSA Awards have recognized the achievements of top performing semiconductor companies in several categories ranging from outstanding leadership to financial accomplishments, as well as overall respect within the industry.

Individual Awards:

Dr. Morris Chang Exemplary Leadership Award
The GSA’s most prestigious award recognizes individuals, such as its namesake, Dr. Morris Chang, for their exceptional contributions to drive the development, innovation, growth and long-term opportunities for the semiconductor industry. This year’s recipient is Dr. Lisa Su, President and CEO of Advanced Micro Devices (AMD).

Rising Women of Influence Award
This newly initiated award recognizes and profiles the next generation of women leaders in the semiconductor industry that are believed to be rising to top executive roles within their organizations. This year’s award was presented to Vanitha Kumar, Vice President of Software Engineering at Qualcomm Technologies, Inc.

Company Awards:

Most Respected Public Semiconductor Companies
GSA members identified the winners in this category by casting ballots for the industry’s most respected companies, judged for their vision, technology and market leadership. Below are this year’s recipients:

Most Respected Public Semiconductor Company Achieving Greater than $5 Billion in Annual Sales:

NVIDIA Corporation

Most Respected Public Semiconductor Company Achieving $1 Billion to $5 Billion in Annual Sales:

Marvell Semiconductor

Most Respected Public Semiconductor Company Achieving $500 Million to $1 Billion in Annual Sales:

Silicon Labs

Most Respected Emerging Public Semiconductor Company Achieving $100 Million to $500 Million in Annual Sales:

Nordic Semiconductor

Most Respected Private Company:

SiFive Inc.

Best Financially Managed Semiconductor Companies
T

hese awards are derived from a broad evaluation of the financial health and performance of public fabless and IDM semiconductor companies. Below are this year’s recipients:

Best Financially Managed Company Achieving up to $1 Billion in Annual Sales:

Holtek Semiconductor Inc.

Best Financially Managed Semiconductor Company Achieving Greater than $1 Billion in Annual Sales:

Micron Technology, Inc.

Start-Up to Watch
GSA’s Private Awards Committee, comprised of successful executives, entrepreneurs and venture capitalists, chose the winner by identifying a promising startup that has demonstrated the potential to positively change its market or the industry through innovation and market application. This year’s winner is Movandi.

As a global organization, the GSA recognizes outstanding companies headquartered in the Europe/Middle East/Africa and Asia-Pacific regions having a global impact and demonstrating a strong vision, portfolio and market leadership. Two awards were presented in this category:

Outstanding Asia-Pacific Semiconductor Company

Samsung Electronics Co., Ltd.

Outstanding EMEA Semiconductor Company

Infineon Technologies AG

Analyst Favorite Semiconductor Company
Two analyst pick awards were presented based on technology and financial performance as well as future projections:

NVIDIA Corporation was chosen by Rajvindra Gill, Managing Director at Needham & Company, LLC

Advanced Micro Devices (AMD) was chosen by Mark Lipacis, Managing Director at Jefferies, LLC
This year’s ceremony was attended by close to 1500 global executives in the semiconductor and technology industries.

There is often a pronounced symmetry when you look at the lattice of crystals: it doesn’t matter where you look – the atoms are uniformly arranged in every direction. This behavior was also to be expected by a crystal, which physicists at the Helmholtz-Zentrum Dresden-Rossendorf (HZDR), the University of Warsaw and the Polish Academy of Sciences produced, using a special process: a compound from an indium arsenide semiconductor, spiked with some iron. The material, however, did not adhere to perfect symmetry. The iron formed two-dimensional, lamellar-shaped structures in the crystal that lent the material a striking property: it became magnetic. In the long term, the result could be vital in understanding superconductors.

By using lasers, scientists from Germany and Poland were able to create a remarkable compound of indium arsenide and iron. Surprisingly, the compound — the black stripes in this image — formed lamellar-shaped structures in the surface of the crystal along one crystalline axis. Credit: HZDR / S. Zhou

“Using the possibilities of our Ion Beam Center, we fired fast iron ions at a crystal made of indium arsenide, a semiconductor made of indium and arsenic,” says Dr. Shengqiang Zhou, physicist at the HZDR Institute of Ion Beam Physics and Materials Research. “The iron penetrated approximately one hundred nanometers deep into the crystal surface.” The iron ions remained in the minority – they constituted only a few percent in the surface. The researchers then fired light pulses at the crystal using a laser. The flashes were ultra-short so that only the surface melted. “For much less than a microsecond, the top one hundred nanometers were a hot soup, whereas the crystal underneath remained cold and well ordered,” Zhou says, describing the result.

The crystal surface cooled again just a blink of an eye after the laser bombardment. Something unusual had happened: the surface had essentially reverted back to the indium arsenide lattice structure. The cooling, however, was so rapid that the iron atoms did not have sufficient time to find and occupy a regular lattice state in the crystal. Instead, the metal atoms joined forces with their peers to form remarkable structures – small two-dimensional lamellae, arranged in parallel.

“It came as a surprise that the iron atoms were arranged in this manner,” says Zhou. “We were thus able to create such a lamellar structure for the first time globally.” When the experts examined the newly created material more closely, they determined that it had become magnetic due to the influence of iron. The researchers from Poland and Germany also managed to theoretically describe the process and simulate it on the computer. “The iron atoms arranged themselves into a lamellar structure because this was energetically the most favorable state they could take in the brief period of time,” says Prof. Tomasz Dietl from the International Research Center MagTop at the Polish Academy of Sciences, summarizing the result of the calculations.

The result could be relevant in, for example, understanding superconductors – a class of materials that can conduct electricity entirely without loss. “Lamellae-like structures can also be found in many superconducting materials,” explains Zhou. “Our compound could therefore serve as a model system and help in better understanding superconductor behavior.” This could perhaps also serve to optimize their properties: in order for superconductors to work, they must currently be cooled to comparatively low temperatures of, for example, minus two hundred degrees Celsius. The aim of many experts is to increase these temperatures gradually – until they find a dream material, which loses its electrical resistance even at normal ambient temperatures.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced worldwide sales of semiconductors reached $41.8 billion for the month of October 2018, an increase of 12.7 percent from the October 2017 total of $37.1 billion and 1.0 percent more than last month’s total of $41.4 billion. Monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average. Additionally, a newly released WSTS industry forecast was revised upward and now projects annual global market growth of 15.9 percent in 2018 and 2.6 percent in 2019.

“The global semiconductor industry posted solid year-to-year growth in October and is on pace for its highest-ever annual sales in 2018, but growth has moderated in recent months,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Although strong sales of DRAM products continue to boost overall market growth, sales in all other major product categories also increased year-to-year in October, and all major regional markets posted year-to-year gains. Double-digit annual growth is expected in 2018, with more modest growth projected for 2019.”

Regionally, year-to-year sales increased in China (23.3 percent), the Americas (14.1 percent), Europe(7.0 percent), Japan (5.5 percent), and Asia Pacific/All Other (3.7 percent). Compared with last month, sales were up in the Americas (2.8 percent), Asia Pacific/All Other (1.8 percent), Japan (0.4 percent), and Europe (0.2 percent), but down slightly in China (-0.4 percent).

Additionally, SIA today endorsed the WSTS Autumn 2018 global semiconductor sales forecast, which projects the industry’s worldwide sales will be $477.9 billion in 2018. This would mark the industry’s highest-ever annual sales, a 15.9 percent increase from the 2017 sales total of $412.2 billion. WSTS projects year-to-year increases across all regional markets for 2018: the Americas (19.6 percent), Asia Pacific (16.0 percent), Europe (13.2 percent), and Japan (9.6 percent). In 2019, growth in the semiconductor market is expected to moderate, with annual sales projected to increase by 2.6 percent. WSTS tabulates its semi-annual industry forecast by convening an extensive group of global semiconductor companies that provide accurate and timely indicators of semiconductor trends.

Lam Research Corporation (Nasdaq: LRCX) today announced that the Board of Directors has accepted Martin Anstice’s resignation as chief executive officer and a member of the Board and has named Tim Archer president and chief executive officer (CEO) effective immediately. Mr. Archer has also been appointed to the Board of Directors of Lam Research. Prior to this appointment, Mr. Archer was the company’s president and chief operating officer (COO).

Mr. Anstice resigned as the company investigates allegations of misconduct in the workplace and conduct inconsistent with the company’s core values, including allegations about Mr. Anstice. The alleged conduct did not involve financial misconduct, nor did it relate to questions regarding the integrity of the company’s financial systems or controls. Upon learning of the allegations, the Board of Directors formed a committee of independent directors led by Lead Independent Director Abhi Talwalkar to conduct an investigation of the allegations, which is ongoing. The independent directors retained an external law firm to assist with the investigation. Mr. Anstice will be leaving the company without receiving any severance benefits.

Mr. Archer was appointed president and COO of Lam Research in January 2018, after serving as COO since June 2012 when Lam completed its acquisition of Novellus Systems, Inc. He joined Novellus in 1994 and held numerous positions throughout his tenure there, including serving as COO at the time of the acquisition by Lam Research.

“The board believes strongly in Lam’s vision and strategy. With Martin’s resignation, we are implementing our existing succession plan in which Tim was designated to succeed Martin. We are confident that Tim is the right leader to execute on the company’s strategic agenda and drive success in the coming years,” said Mr. Talwalkar. “Since joining us over six years ago with the acquisition of Novellus, Tim has been instrumental in leading Lam Research through a period of transformational growth, and we are confident our company and stakeholders will continue to prosper under Tim’s leadership.”

Mr. Archer commented, “I am honored to lead Lam Research at a time of great opportunity for our company. Lam has industry-leading technologies and is well-positioned to capitalize on the multiple demand drivers for the semiconductor industry. We are committed to our long-term strategy of value creation for our customers, employees, business partners, and stockholders.”

Mr. Talwalkar added, “Lam Research takes all allegations of misconduct seriously. An integral part of the culture of Lam Research is our commitment to provide a safe and positive work environment where each of our employees has the opportunity to thrive. The company has policies in place to support and enforce this commitment.”

Lam Research also reaffirms its financial guidance for the December 2018 quarter, as communicated in the press release dated October 16, 2018. An update to our financial performance and business outlook will be provided at our customary quarterly earnings call on January 23, 2019.

SEMI announced today that the Industry Strategy Symposium (ISS) 2019 will take place January 6-9 at Half Moon Bay’s Ritz-Carlton Hotel with the theme “Golden Age of the Semiconductor: Enabling the Next Industrial Revolution.” ISS is the year’s first executive check-in, bringing together leading analysts, researchers, economists, and technologists for insights on the forces impacting the semiconductor industry. The annual symposium offers executives a unique platform for identifying growth opportunities and gaining industry intelligence to help them ensure that their business plans and forecasts are based on up-to-the-minute market conditions. Registration for ISS 2019 is now open.

Major developments are transforming the extended supply chain — artificial intelligence (AI), intelligent vehicles, augmented and virtual reality, and seemingly limitless connectivity within the cloud. Collaboration across an expanding ecosystem and advanced technical innovations are giving rise to advanced electronics that continue to raise performance and power-consumption requirements and drive heterogenous integration.

“It can be hard to lead to where you’ve not been, particularly at this most dynamic time in business,” said David Anderson, president of SEMI Americas. “Executives from across the supply chain historically have asked for this timely ISS program in order to gauge what the year ahead looks like. To help management get the very latest picture, and to compare notes to most confidently tune their operations and sales, ISS is a tremendous level set for the new year.”

ISS 2019 is the first of seven conferences comprising SEMI’s inaugural Technology Leadership Series of the Americas. Aligned from coast to coast over one year, the series is designed to foster critical discussions on the short- and long-term influences and opportunities to the $2 trillion emerging markets.

ISS 2019 highlights include:

Keynotes

  • Dr. Jo de Boeck, chief strategy officer at IMEC, will share how nanotechnology’s “magic” will enable advanced applications for SMART mobility, SMART cities, infotainment and healthcare
  • Dr. Ann Kelleher, senior vice president for the technology and manufacturing group at Intel
  • Jim Talent, former U.S. Senator, will discuss the evolving U.S.-China strategic relationship

Economic trends and market perspectives affecting the chip industry

  • Executives and economists from Harvard Kennedy School, Gartner, Hilltop Economics, Linx, Amazon, Bank of America, Rockwell Automation, Ericsson, Selexis, Enthought and VLSI Research

Technology, manufacturing and industrial revolution discussions

  • Executives and CTOs from Google, TEL, Micron, Intel Labs, Applied Materials, Xperi, McKinsey, DECA Technologies, Carbon and Brewer Science

For more information about ISS, click here.

The semiconductor manufacturing industry is fighting to attract, educate, and retain the necessary talent for its continued growth. A significant workforce gap of up to 10,000 global positions stretches the industry’s ability to meet the world’s already demanding technology needs. To solve this challenge, SEMI, the global electronics manufacturing association, is launching an audacious and innovative campaign to raise industry awareness and attract students and recent graduates that don’t yet know about the immense opportunities available to them in semiconductor manufacturing.

Semiconductors are the brains and memory of all modern electronics. Their incredible processing power has made breakthroughs possible in communication, transportation, and medicine, powering everything from smartphones to space travel. Whether you’re driving a car, surfing the internet or using a computer, semiconductors drive technological innovation. Global semiconductor revenue has grown by over $100 billion in the last four years and is projected to surpass $0.5 trillion by 2019.

The campaign, You’re Welcome, speaks to how fundamental, yet underappreciated, this technology is. It includes a suspenseful, action-filled movie trailer that shows what happens when scientists, engineers, and mathematicians make semiconductors to save the world from the brink of disaster. The video also takes viewers behind-the-scenes of a semiconductor facility, or fab, which brings together cutting-edge STEM fields to develop the world’s most advanced technology. The campaign’s website provides information about the value and production of semiconductors, as well as a career guide that showcases the wide variety of opportunities available with companies such as Intel, Samsung, Applied Materials, Tokyo Electron, and the more than 2,000 SEMI member companies.

The campaign is just one piece in SEMI’s comprehensive workforce development plan. The plan engages students as early-on as 4th grade, inspires and motivates them through high school and college, and provides pathways to professional careers, building a pipeline to fill the short-term and long-term needs of the industry. Through the You’re Welcome campaign, SEMI is addressing the increasingly urgent workforce need by taking a completely new, never-before-seen approach to talent recruitment by leveraging high-interest areas of entertainment, media and storytelling to excite students about the industry’s role in society.

SEMI, the global industry association representing the electronics manufacturing supply chain, today applauded the United States and China for agreeing to take first steps to reduce trade tensions. The U.S. plans to delay tariff increases on $200 billion worth of Chinese imports, China has vowed to increase U.S. market access, and both parties are planning talks over the course of 90 days to address current frictions.

“Everyone, businesses and consumers alike, relies on devices powered by semiconductors,” said Ajit Manocha, president and CEO of SEMI. “Tariffs on products threaten jobs, stifle innovation, curb growth, and compromise U.S. competitiveness.”

With intellectual property critical to the semiconductor industry, SEMI strongly supports efforts to better protect valuable IP. SEMI believes, however, that U.S. tariff increases will ultimately do nothing to change China’s trade practices. SEMI has long supported efforts to reduce and end trade tensions between the U.S. and China.

“While this is a first step, it is encouraging to see presidents Trump and Xi committed to working together,” Manocha said. “We look forward to continued negotiations that produce an agreement that not only removes tariffs altogether, but also satisfactorily addresses bilateral economic concerns.”

The semiconductor industry relies heavily on international trade. Since the tariffs have been in force, companies have faced higher costs, greater uncertainty, and difficulty selling products abroad.

Since action against China was announced in March, SEMI has engaged heavily with the Trump administration, submitting written comments and offering testimony on the importance of the free trade to the industry as well as the damaging effects of tariffs on Chinese goods. SEMI estimates that tariffs would have cost semiconductor companies more than $700 million annually.

Last month, SEMI issued “10 Principles for the Global Semiconductor Supply Chain in Modern Trade Agreements,” calling for their adoption in existing and new trade deals, including frameworks for a U.S.-China agreement.

In the face of the microelectronics industry’s unprecedented challenges and opportunities with artificial intelligence (AI) and new markets outside the historic semiconductor audiences, SEMI announces the Technology Leadership Series of the Americas. The seven-part sequence of related strategy and technical conferences comprises the world’s largest and most comprehensive approach for examining and fabricating future innovations that can fuel a higher quality of life for the planet.

As the era begins with the volume of the world’s data doubling every 12-18 months, a global brain trust of hundreds of industry experts has provided inputs for a coherent, step-by-step process that will position the microelectronics industry to navigate the future.

With an objective to reduce learning curves and shorten product times to market, key interest groups have rallied with SEMI in the past 24 months to multiply interactions with the supply chain. In turn, these exchanges are calculated to increase the members’ respective technical ROIs. Technology communities include the Fab Owners Alliance (FOA), FlexTech, MEMS & Sensors Industry Group (MSIG), Electronic System Design Alliance, as well as global partner associations such as IEEE and SAE International, which leads technical learning for the mobility industry.

As a result, more than 2,100 global market-related businesses have teamed with SEMI to help structure content for the Technology Leadership Series of the Americas.

Aligned from coast to coast, across a 12-month span, the series is designed to foster the most critical discussions for connecting both the short-term and long-term influences impacting the $2 trillion worth of emerging markets. The series further aims to remove guesswork about which of the world’s rapidly rising number of conferences provides the highest ROI for the senior executive, engineer-scientist and sales manager.

“There’s been lots of talk around AI, its potential enhancements for nearly all markets, and which priorities should be next for maximizing those. To facilitate measurable industry progress, the approach for this series is to fit together the most critical puzzle pieces – strategy, design, new materials and manufacturing technologies – that will deliver the most impactful roadmap for the coming decades,” said David Anderson, president of SEMI Americas and series co-author. “The experts have concluded that focal points identified for these topic-exclusive conferences will each serve as a stepping stone – or enabler – for the roadmap’s most important areas. As with previous industry efforts, what hasn’t changed is that the path to success hinges on collaboration by partners from across the supply chain.”

Target topics will address the leading edges of industry knowledge and practices, including up-to-the-minute market forecasts and deep dives into game-changing issues and advancements. Six strategy and technical conferences will culminate in an unmatched integration of technologies and partners at SEMICON West, July 9-11, 2019, in San Francisco.

The Series’ special conferences are:

  • Industry Strategy Symposium (ISS) Jan. 6-9 – will kick off the new year with analysis of new and emerging demand drivers for new architectures, new logic and memory, new streams of investment and how to advance their arrivals and ensure longevities that enable the next industrial revolution.
  • Flexible & Printed Electronics and MEMS & Sensors Technical Congress (FLEX/MSTC) Feb. 18-21 – the co-located events will provide the most comprehensive technical conference as FLEX focuses on the design and manufacture of flexible electronics, including sensors, IC integration and substrates, while MSTC focuses on the technology behind the trends in MEMS and sensors for autonomous mobility in mobile devices, IOT, drones, and autonomous transportations.
  • Advanced Semiconductor Manufacturing Conference (ASMC) May 6-9 – will improve the industry’s advanced manufacturing strategies and methodologies through a combined sharing of highlights and insights by device makers, equipment and materials suppliers and academics. Women in Semiconductors will hold their third annual workshop.
  • Strategic Materials Conference (SMC) Sept. 2019 – will share the latest developments from around the world in strategic materials that will be vital for new markets, system creation, heterogeneous integration and packaging.
  • MEMS & Sensors Executive Congress (MSEC) Oct. 2019 – will present how the next generations of MEMS and sensors will be designed and produced to meet on-going growth for emerging markets beyond the historic microelectronics customer base.
  • International Technology Partners Conference (ITPC) Nov. 3-6 – will advance productive trans-pacific relationships to help avoid threatened supply chain prosperity, leveraging thought-leadership and relationship-building programs for executive-level engagement.

At the peak of the collaborative series, SEMICON West 2019 will provide renowned global presenters and hands-on demos, at both strategic and technical levels, for up-to-minute predictions and breakthroughs on upcoming trends and enablers. Based on direction from SEMI’s members, the five vertical application areas of AI and Data, Smart Transportation, MedTech, Smart Manufacturing and Industrial Automation, plus workforce development, will be featured at the semiconductor industry’s flagship event.

The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing, design, and research, today announced the addition of Silicon Labs (NASDAQ: SLAB) as an SIA member. Silicon Labs President and CEO Tyson Tuttle was elected to the SIA board of directors at the association’s board meeting on Nov. 29. Silicon Labs joins several other companies that have become SIA members within the last year: Cree, NVIDIA, Xilinx, Arm, SK Hynix, and KLA-Tencor.

“Silicon Labs is a major player and leading voice in our industry, and we’re thrilled to have them in the SIA tent,” said John Neuffer, SIA President and CEO. “SIA has a 40-year history of advancing the semiconductor industry’s interests in Washington and capitals around the world. Our work to advance policies that will promote growth and innovation in our industry will be greatly strengthened by the addition of Silicon Labs as a member, and we are excited to welcome Tyson Tuttle to the SIA board.”

Tyson Tuttle has been instrumental in shaping Silicon Labs’ strategic and technological direction for more than 20 years. After becoming CEO in 2012, Tyson laid the foundation for a cultural shift to serve broad-based markets with a greater emphasis on software and tools, enabling customers to simplify IoT system design. As CEO, Tyson has transformed Silicon Labs into a leading provider of IoT connectivity solutions, with more than half of the company’s revenue stemming from the IoT. He has more than 25 years of semiconductor experience and holds more than 70 patents in RF and mixed-signal IC design. Tyson received a B.S. degree in Electrical Engineering in 1989 from Johns Hopkins University and an M.S. degree in Electrical Engineering in 1992 from UCLA.

“Smart government policy is critical to the continued strength of the semiconductor industry, the tech sector, and the broader economy,” said Tuttle. “It is a true pleasure to represent Silicon Labs on the SIA board and to work alongside my colleagues to make meaningful progress on issues of great importance to us all.”

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today introduced the all new BONDSCALE™ automated production fusion bonding system. BONDSCALE is designed to fulfill a wide range of fusion/molecular wafer bonding applications, including engineered substrate manufacturing and 3D integration approaches that use layer-transfer processing, such as monolithic 3D (M3D). With BONDSCALE, EVG is bringing wafer bonding to front-end semiconductor processing and helping to address long-term challenges for “More Moore” logic device scaling identified in the International Roadmap for Devices and Systems (IRDS). Incorporating an enhanced edge alignment technology, BONDSCALE provides a significant boost in wafer bond productivity and lower cost of ownership (CoO) compared to existing fusion bonding platforms. It is already being shipped to customers.

BONDSCALE is being sold alongside EVG’s industry benchmark GEMINI® FB XT automated fusion bonding system, with each platform targeting different applications. While BONDSCALE will primarily focus on engineered substrate bonding and layer-transfer processing, the GEMINI FB XT will support applications requiring higher alignment accuracies, such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning.

Direct wafer bonding key to driving semiconductor performance scaling

According to the IRDS Roadmap, parasitic scaling will become a dominant driver of logic device performance in the coming years, requiring new transistor architectures and materials. The IRDS Roadmap also notes that new 3D integration approaches such as M3D will be necessary to support the long-term transition from 2D to 3D VLSI, including backside power distribution, N&P stacking, logic-on-memory, clustered functional stacks and beyond-CMOS adoption. Layer-transfer processes and engineered substrates are enabling technologies for logic scaling by helping to deliver significant improvements in device performance, functionality and power consumption. Direct wafer bonding with plasma activation is a proven solution for enabling heterogeneous integration of different materials, high-quality engineered substrates as well as thin-silicon-layer-transfer applications.

“As a pioneer and market leader in wafer bonding, EVG has been at the forefront in helping customers bring new semiconductor technologies from early R&D to full-scale manufacturing,” stated Paul Lindner, executive technology director at EV Group. “Nearly 25 years ago, EVG introduced the industry’s first silicon-on-insulator (SOI) wafer bonder to support the production of high-frequency and radiation-hard devices for niche applications. Since then, we have continuously enhanced the performance and CoO of our direct bonding platforms to help our customers bring the benefits of engineered substrates to a wider range of applications. Our new BONDSCALE solution takes this to the next level, boosting productivity to fulfill the growing need for engineered substrates and layer-transfer processing to enable continued performance, power and area scaling of next-generation logic and memory devices in the ‘More Moore’ era.”

BONDSCALE is a high-volume production system for fusion/direct wafer bonding needed for front-end-of-line applications. Featuring EVG’s LowTemp™ plasma activation technology, the BONDSCALE system combines all essential steps for fusion bonding — including cleaning, plasma activation, alignment, pre-bonding and IR inspection — in a single platform that is suitable for a wide range of fusion/molecular wafer bonding applications. Capable of processing both 200-mm and 300-mm wafers, the system ensures a void-free, high-throughput, and high-yield production process.

BONDSCALE incorporates next-generation fusion/direct bonding modules, a new wafer handling system and optical edge alignment to provide significantly higher throughput and productivity to support the needs of its customers to ramp up engineered substrate wafer production and M3D integration.