Category Archives: Wafer Processing

By Art Paredes, SEMI

Nearly 5,000 visitors and exhibitor personnel assembled in Penang last month for SEMICON Southeast Asia 2016, the largest trade show for the electronics manufacturing supply chain in the region. This year’s event featured over 80 speakers and 200 companies participating, with exhibiting companies from countries spanning the globe.

In its second year in Penang, SEMICON Southeast Asia serves as the primary platform for semiconductor equipment and materials manufacturing, assembly, test & packaging services, electronic manufacturing services (EMS), smart manufacturing technologies, and industrial IoT applications.

“With more programs and speakers this year – and the increased number of exhibiting companies, we are extremely pleased with the continued growth of SEMICON Southeast Asia,” stated Kai Fai Ng, president of SEMI Southeast Asia. Mr. Ng also stated: “the support and leadership of our Regional Advisory Board, chaired by Mr. KC Ang, senior vice president and GM of GLOBALFOUNDRIES – and the Southeast Asia Technical Committees, chaired by Mr. Nelson Wong, VP of the Ball Bonder Business Unit at Kulicke & Soffa, was greatly appreciated – and a key reason for our success.”

In addition to the sold-out exhibition hall, SEMICON Southeast Asia presented more than 90 targeted sessions and panel discussions. Key programs included the Market Trends Briefing, Supply Chain in High Tech Industry Forum, Advanced Packaging Forum, Technology Innovation Forum, Product & System Testing Forum, Sustainable Manufacturing Forum, Electrical Fault Isolation Tutorial, LED Technology Forum, and the IC Failure Analysis & Yield Productivity Forum.

Each program featured excellent speakers from the extended electronics supply chain, including KL Bock, VP of Manufacturing at SanDisk; John Galang, SEA regional director, Global Manufacturing Operations at Cisco Systems; Yohei “Fred” Sato, director ATS Marketing at TEL; Mr. CS Tan, Group VP & GM at ST Microelectronics; Ms. Fariba Abhari, director of Marketing IoT/MEMS Business Group at Lam Research; Dr. Poh Leng Eu, head of Package Innovation at NXP; Dr. Shang Yang, senior R&D Applications engineer at Advantest; Mr. Arvind Sundarrajan, head of Asia Product Development Centre at Applied Materials and; Mr. Dennis Wee, Product Engineering manager at Broadcom, to name a few.

Sold out exhibition hall

SEMICON Southeast Asia’s exhibition grew an additional 12 percent over 2015. The show floor featured many leading equipment and materials manufacturers, assembly, test & packaging providers, electronic manufacturing service (EMS) providers, and numerous suppliers from the electronics supply chain. A sample of exhibiting companies included: Advantest, DAS, DISCO, Faeth, GE Sensing & Inspection, Hermes-Epiteck, Hitachi Power Solutions, Hiwin, Lam Research, SCREEN, Surplus Global, Tokyo Electron, ULVAC, UST Technology, Yaskawa, and ZMC Technologies, to name a few. Key pavilions from Singapore, Silicon Saxony and the Malaysia Investment & Development Agency (MIDA) were also present on the show floor.

Over 200 industry leaders gathered on the summit of Penang Hill (also known as Bukit Bendera) for the annual SEMICON Southeast Asia networking event, hosted by InvestPenang. Lush gardens, live music, cooler temperatures, and fantastic views of Georgetown and mainland Penang provided the ideal backdrop for an entertaining and memorable evening.

“SEMICON Southeast Asia plays a vital role for the local economy and the continued growth of the electrical & electronics industry in Penang and throughout Malaysia,” stated Ms. Lee Lian Loo, GM of InvestPenang. “We are pleased to partner with SEMI for this outstanding trade show.”

Southeast Asia Remains a Key Market

Southeast Asia continues to play a vital role in the global IC industry and accounts for more than 27 percent of the world’s assembly, packaging and test production – and is the single largest market for packaging materials and equipment.

Dan Tracy, senior director, Industry Research & Statistics at SEMI, speaking at the Market Trends Briefing, provided a summary of year-to-date trends and a comprehensive market outlook for the global semiconductor equipment and materials market. Tracy emphasized the importance and relevance of the Southeast Asia market and the expected continuing growth in the materials and equipment sectors. According to the SEMI Materials Market Data Subscription (April 2016), Southeast Asia will account for approximately 24 percent of the total semiconductor packaging materials markets and about 14 percent of the regional fab materials market.

For more information regarding SEMICON Southeast Asia, please visit www.semiconsea.org or contact Ms. Shannen Koh at [email protected]. For additional information on SEMI’s global expositions, please visit www.semiexpos.org or contact Mr. Art Paredes @ [email protected].

Worldwide semiconductor capital spending is projected to decline 2 percent in 2016, to $62.8 billion, according to Gartner, Inc. (see Table 1). This is up from the estimated 4.7 percent decline in Gartner’s previous quarterly forecast.

“While the first quarter 2016 forecast has improved from a projected decline of 4.7 percent in the previous quarter’s forecast, the 2 percent decline in the market for 2016 is still bleak,” said David Christensen, senior research analyst at Gartner. “Excess inventory and weak demand for PCs, tablets, and mobile products continue to plague the semiconductor industry, resulting in a slow growth rate that began in late 2015 and is continuing into 2016.”

Table 1

Worldwide Semiconductor Capital Spending and Equipment Spending Forecast, 2015-2018 (Millions of Dollars)

2015

2016

2017

2018

Semiconductor Capital Spending ($M)

64,062.9

62,795.3

65,528.5

70,009.5

Growth (%)

-0.8

-2.0

4.4

6.8

Wafer-Level Manufacturing Equipment ($M)

33,248.1

32,642.0

34,897.6

37,641.1

Growth (%)

-1.1

-1.8

6.9

7.9

Wafer Fab Equipment ($M)

31,485.4

30,841.9

32,930.3

35,443.4

Growth (%)

-1.3

-2.0

6.8

7.6

Wafer-Level Packaging and Assembly Equipment ($M)

1,762.7

1,800.2

1,967.3

2,197.7

Growth (%)

4.1

2.1

9.3

11.7

Source: Gartner (May 2016)

“The slowdown in the devices market has driven semiconductor producers to be conservative with their capital spending plans,” said Mr. Christensen. “This year, leading semiconductor manufacturers are responding to anticipated weak demand from semiconductors and preparing for new growth in leading-edge technologies in 2017.”

In addition, the aggressive pursuit of semiconductor manufacturing capability by the Chinese government is an issue that cannot be ignored by the semiconductor manufacturing industry. In the last year, there has been consolidation and merger and acquisition (M&A) activity with specific offers from various Chinese-based entities, indicating the aggressiveness of the Chinese. This will dramatically affect the competitive landscape of global semiconductor manufacturing in the next few years, as China is now a major market for semiconductor usage and manufacturing.

Looking forward, the market is expected to return to growth in 2017. Increased demand for 10 nanometer (nm) and 3D NAND process development in memory and logic/foundry will drive overall spending to grow 4.4 percent in 2017.

This research is produced by Gartner’s Semiconductor Manufacturing program. This research program, which is part of the overall semiconductor research group, provides a comprehensive view of the entire semiconductor industry, from manufacturing to device and application market trends. Additional analysis on the outlook for the semiconductor market can be found at “Forecast Analysis: Capital Spending and Semiconductor Manufacturing Equipment, Worldwide, 1Q16.”

To meet the changing needs of today’s increasingly interconnected supply chain, SEMICON West has added eight vertically integrated forums to its 2016 lineup. The forums are designed to connect communities of interest, enabling business and technical professionals to collaborate and identify new opportunities. Forums feature technical experts, analysts, and leaders from many of the biggest names in electronics, including Cisco, Intel, and Samsung. To pre-register for SEMICON West, visit www.semiconwest.org by June 3 and save.

A key new addition, The Extended Supply Chain Forum, will explore strategic issues that impact the entire supply chain, bringing system integrators, designers, engineers, and manufacturers together to share new ways to boost performance and cost-effectiveness. Attendees will connect with suppliers of equipment, materials, and services used to manufacture next-generation products. The forum features three tracks:

  1. IC Design Summit
  • Design for Auto: The rapid growth of automobile electronics is driving demand for chips used in powertrain, infotainment, and driver assistance applications. As a result, electronic design automation, embedded software, and electrical systems are in high demand — panelists from Audi, Nissan, andMaxim Integrated.
  • Design for Security: How to achieve trusted IC and products across the microelectronics value chain with chip-level, cyber, and network security — participants from DARPA, Cadence, and Rambus.
  1. Analog and New Frontiers
  • Market Drivers, Application and Design Requirements, and Supply Chain Challenges: Presenters from Cisco and Texas Instruments, among others, address how the need for efficient power management in smartphones and other devices is driving the analog IC market.
  • Equipment Supplier Forecast and Challenges Specific to the Manufacture of Analog Devices: Will delve into issues impacting analog devices from a supplier’s perspective, including front-end processes, packaging, and test — speakers from Lockheed Martin, Mentor Graphics, and more.
  1.  Smart Manufacturing
  • Opportunities and Challenges for Next-Generation Manufacturing in the Value Chain: Will focus on how to integrate growing volumes of data across more areas to extract actionable information, while protecting IP — executive panelists from Intel, Siemens, and more.
  • Profiting from Big Data Analytics and Advanced Process Control for Higher Yield, Higher Mix: Speakers from Microsoft and Samsung will expound upon the latest technical solutions for improving operations with IT.

Seven other forums at SEMICON West 2016 are: Advanced Manufacturing Chain Forum, Advanced Packaging Forum, Test Forum, Sustainable Manufacturing Forum, Silicon Innovation Forum, Flexible Hybrid Electronics Forum, and World of IoT Forum.

The industry is undergoing dramatic changes. SEMICON West 2016 has expanded its technical programming by nearly 40 percent to help attendees keep up with the transformation. The Extended Supply Chain Forum is free to all attendees, however pre-registration is required. To learn more about this year’s flagship event, visit www.semiconwest.org

Rudolph Technologies, Inc. is pleased to announce the appointment of Debbora Ahlgren as vice president of global customer operations, leading the company’s sales and service organization.

“Debbora’s extensive field operations experience for both large and small fast-growing companies brings a wide range of knowledge and resources to Rudolph,” said Mike Plisinski, Rudolph’s chief executive officer. “With more than 25 years of experience in the semiconductor and related electronics industries, she brings a comprehensive perspective to strengthen Rudolph’s value proposition of coupling equipment with software for a unique total solution for many processing challenges facing semiconductor manufacturers today.”

“I’m pleased to be joining Rudolph at a pivotal point in the company’s growth,” said Debbora Ahlgren, vice president, global customer operations at Rudolph. “With multiple exciting growth markets in our path, I am excited to lead Rudolph’s strong field operations teams to optimize our solutions to best serve our customers.”

Prior to joining Rudolph Technologies, Ahlgren served in a variety of executive roles, including vice president and general manager for field operations for Agilent Technologies, and vice president of sales and marketing for OptimalPlus. In these roles, Ahlgren was responsible for the sustained and profitable growth of the organizations through strong relationships with integrated device manufacturers (IDMs), outsourced assembly and test (OSAT) suppliers, foundries and fabless design firms. Her experience spans both capital equipment and enterprise software for the semiconductor industry.

Ahlgren’s expertise in capital equipment encompasses the semiconductor and printed circuit board industries. She has prior experience working directly for Agilent Technologies, Cascade Microtech, KLA-Tencor, Schlumberger and Verigy (now part of Advantest). As a consultant, she provided technical and strategic marketing guidance to Hewlett-Packard, Xerox Corporation and NEC Corporation of America.

The Global Semiconductor Alliance (GSA) is pleased to announce the appointment of Dr. Leo Li as the chairman of the GSA Board of Directors for 2016 and 2017.  Dr. Li serves as chairman, chief executive officer of Spreadtrum Communications, leading the Company’s mission to achieve industry leadership through continuous innovation and service.

The GSA Board chairman is a coveted position throughout the industry reserved for the most innovative leaders who represent the semiconductor industry’s most active global regions. Dr. Li will be the first chairman to serve from mainland China.  As a global Alliance, this is a key step for GSA to ensure the commitment to all important regions of the ecosystem.  It is vital to GSA that Chinese companies are being serviced and global members have access to all of the opportunities in China.

Dr. Li has served as a regional member of the GSA Board of Directors, representing the Asia-Pacific region since 2012. He has also served as a member of GSA’s Asia-Pacific Leadership Council since 2011.  The Asia-Pacific Leadership Council serves as advisors to the GSA Board on global and regional issues.

“I am honored that the GSA Board of Directors has appointed me as their Chairman,” commented Dr. Li. “The industry is constantly evolving and GSA has been instrumental in solving a variety of challenges and promoting collaboration between its member companies and partners. I am looking forward to serving as the Chairman to help advance GSA’s commitment to support globalization and continue to be the most prominent advocate to expand cooperation and innovation in our dynamic global semiconductor industry.”

Dr. Li has more than 30 years experience in wireless communications industry, joining Spreadtrum Communications in May 2008. From 2005 to 2007, he served as the chief executive officer of Magicomm Technology Inc., a cell phone product development company. From 2002 to 2005, he was senior business development director at Broadcom and was responsible for a line of GSM/GPRS/EDGE/WCDMA baseband business. From 1998 to 2002, Dr. Li was appointed as general manager of Mobile Phone Product and Vice President of Mobilink Telecom, a GSM baseband start-up company that was sold to Broadcom in 2002. Prior to 1998, he held various senior engineering and program management positions at Rockwell Semiconductors and Ericsson. Dr. Li holds 10 patents in wireless communication systems, RF IC system and circuit designs, and RFID applications.

Dr. Li received a BS degree from the University of Science and Technology of China in Hefei, China; a MS degree from the Institute of Electronics, Chinese Academy of Sciences in Beijing, China; a Ph.D. degree in Electrical Engineering from the University of Maryland in College ParkMaryland, USA; and an MBA degree from the National University in La Jolla, California, USA.

“It is a great honor to have Dr. Li serve as the Chairman of the GSA Board of Directors,” said Jodi Shelton, president of the GSA.  “Dr. Li is one of the most influential leaders in the semiconductor industry in China and his involvement will be critical to our future success. GSA will greatly benefit from his global perspective and technical expertise, enabling GSA to expand its collaboration between China and the worldwide semiconductor industry.”

Steve Mollenkopf, the Chairman of the GSA Board of Directors from 2014 to present, will continue to serve as a regional leadership director for the Board.

The Semiconductor Industry Association (SIA) this week announced worldwide sales of semiconductors reached $26.1 billion for the month of March 2016, a slight increase of 0.3 percent compared to the previous month’s total of $26.0 billion. Sales from the first quarter of 2016 were $78.3 billion, down 5.5 percent compared to the previous quarter and 5.8 lower than the first quarter of 2015. All monthly sales numbers are compiled by the World Semiconductor Trade Statistics (WSTS) organization and represent a three-month moving average.

“Global semiconductor sales increased in March for the first time in five months, but soft demand, market cyclicality, and macroeconomic conditions continue to impede more robust growth,” said John Neuffer, president and CEO, Semiconductor Industry Association. “Q1 sales lagged behind last quarter across nearly all regional markets, with the Americas showing the sharpest decline.”

Regionally, month-to-month sales increased in Japan (4.8 percent), Asia Pacific/All Other (2.3 percent), and Europe (0.1 percent), but fell in China (-1.1 percent) and the Americas (-2.8 percent). Compared to the same month last year, sales in March increased in Japan (1.8 percent) and China (1.3 percent), but decreased in Asia Pacific/All Other (-6.4 percent), Europe (-9.8 percent), and the Americas (-15.8 percent).

“Eighty-three percent of U.S. semiconductor industry sales are into markets outside the U.S., so access to overseas markets is imperative to the long-term strength of our industry,” Neuffer said. “The Trans-Pacific Partnership (TPP) is a landmark trade agreement that would tear down myriad barriers to trade with countries in the Asia-Pacific. The TPP is good for the semiconductor industry, the tech sector, the American economy, and the global economy. Congress should approve it.”

March 2016

Billions

Month-to-Month Sales                               

Market

Last Month

Current Month

% Change

Americas

5.03

4.89

-2.8%

Europe

2.66

2.67

0.1%

Japan

2.47

2.59

4.8%

China

8.02

7.93

-1.1%

Asia Pacific/All Other

7.83

8.01

2.3%

Total

26.02

26.09

0.3%

Year-to-Year Sales                          

Market

Last Year

Current Month

% Change

Americas

5.81

4.89

-15.8%

Europe

2.96

2.67

-9.8%

Japan

2.55

2.59

1.8%

China

7.83

7.93

1.3%

Asia Pacific/All Other

8.57

8.01

-6.4%

Total

27.70

26.09

-5.8%

Three-Month-Moving Average Sales

Market

Oct/Nov/Dec

Jan/Feb/Mar

% Change

Americas

5.75

4.89

-15.0%

Europe

2.77

2.67

-3.6%

Japan

2.57

2.59

0.8%

China

8.45

7.93

-6.1%

Asia Pacific/All Other

8.08

8.01

-0.8%

Total

27.62

26.09

-5.5%

Year-to-year percent change in world semiconductor revenues over the past 20 years.

Year-to-year percent change in world semiconductor revenues over the past 20 years.

The Semiconductor Industry Association (SIA) today released a new report highlighting the evolution, complexity, and pivotal importance of the global semiconductor value chain. The report, drafted by Nathan Associates and titled, “Beyond Borders: How an Interconnected Industry Promotes Innovation and Growth,” emphasizes the global value chain’s tremendous benefits to technological advancement, semiconductor companies, affiliated industries, participating countries, and the global economy. The report also warns of the risks of attempting to reproduce the entire value chain within a single country.

“Much like the intricate circuitry of chips themselves, the global semiconductor value chain is a complex, interdependent network that is highly efficient, productive, and constantly evolving,” said John Neuffer, president and CEO, Semiconductor Industry Association. “This interlocking ecosystem drives growth and innovation and strengthens participating countries by increasing employment and export opportunities.”

The “Beyond Borders” report examines how the semiconductor value chain weaves together researchers, designers, manufacturers, assemblers, and suppliers from all over the world to create the building blocks of modern electronics. The system is driven in part by the extreme complexity and competitive nature of the industry itself. Nonstop, competition-driven demand for more and better capabilities, features, reliability, miniaturization, and speed at reduced cost requires a heavy investment in research and development, design, and efficient, low-cost manufacturing, testing, assembling and packaging, and distribution.

These same pressures also affect a vast array of supporting activities, such as the production of semiconductor manufacturing equipment, development of design software and other semiconductor intellectual property, and provision of raw materials. The pressures have compelled semiconductor companies to develop business models that look beyond national borders to achieve efficiencies to compete in the marketplace. Moving forward, this trend is likely to continue and intensify as the demand for innovation becomes even greater, according to the report.

“The semiconductor industry is relentlessly focused on the future, on exploring and advancing new technological frontiers such as brain-inspired computing, the Internet of Things, energy-efficient sensing, automated devices, robotics, and artificial intelligence,” Neuffer said. “A globally interdependent system that links together the strengths and capabilities of each participant will help the semiconductor industry unlock new technologies that will shape the future of the digital economy.”

The Society of Chemical Industry (SCI), America Group, announced today that Peter Trefonas, Ph.D., corporate fellow in Electronic Materials at The Dow Chemical Company, has won the 2016 SCI Perkin Medal.

This honor recognizes Trefonas’ contributions in the development of chemicals that enable microlithography for the fabrication of microelectronic circuits. His outstanding work in the creation of polymer photoresists used in the lithographic process, especially the development of antireflective coatings, enables patterning of smaller features, fitting more circuits in the same area. These advances in miniaturization enable the faster microprocessors and multitude of new electronic devices that are such a large part of daily life.

Trefonas will receive the medal at a dinner in his honor on Tuesday, September 13, 2016, at the Hilton Penn’s Landing Hotel in Philadelphia.

“Discovery, innovation, and achievement have defined the career of Dr. Trefonas,” said Fred Festa, chairman and chief executive officer of W.R. Grace & Co., and Chair of SCI America. “The SCI is pleased to recognize his remarkable success in our industry, his contributions to science, and his impact on the quality of our lives.”

“It is hard to imagine life without the smart devices we use, devices we upgrade frequently as technology improves. Peter’s advances in materials used in lithography make them possible, enabling circuit designs that are smaller and faster. Peter has been a driving force in our Electronic Materials business for decades, developing innovative technology, mentoring others and driving the organization to a high level of performance,” says A.N. Sreeram, Dow senior vice president and chief technology officer. “Peter’s selection as the recipient of the Society of Chemical Industry’s Perkin Medal is a fitting recognition of his innovative work.”

Peter Trefonas, Ph.D., is a Corporate Fellow in The Dow Chemical Company, where he works within the Dow Electronic Materials Business Group.

Trefonas made major contributions to the development of many successful products which are used in the production of integrated circuits spanning multiple device design generations, from 2 micron to 14nm node technologies. These include photoresists, antireflectant coatings, underlayers, developers, ancillary products, and environmentally safer green products. These electronic materials have had a high commercial impact, and have helped to facilitate the progress of the Information Age.

He is an inventor on 61 US patents, has over 25 additional published active U.S. patent applications, is an author of 99 journal and technical publications, and is a recent recipient of both the 2014 ACS Heroes of Chemistry Award and the 2014 SPIE Willson Award.

Trefonas earned his Ph.D. in inorganic chemistry with Prof. Robert West at the University of Wisconsin-Madison in 1985 and his Bachelor of Science in chemistry at the University of New Orleans in 1980. Originally a native of New Orleans, Trefonas has lived with his family in Medway, Massachusetts for the last 27 years.

His research career began at Monsanto Electronics Materials Company. He then co-founded a start-up company called Aspect Systems Inc., which acquired lithographic chemicals technology spun off from Monsanto. He continued in electronic materials R&D as his career moved via acquisitions by Shipley Company, Rohm and Haas Company, and Dow. Prior to graduate school, Trefonas was also the creator of several commercial computer games which were popular on early microcomputer platforms.

By Ed Korczynski, Senior Technical Editor

The world’s leading lithographers gather each year in San Jose, California at SPIE’s Advanced Lithography conference to discuss how to extend optical lithography. So of all the NGL technologies, which will win out in the end?

“Mix and Match” has long been a mantra for lithographers in the deep-sub-wavelength era of IC device manufacturing. In general, forming patterns with resolution at minimum pitch as small as 1/4 the wavelength of light can be done using off-axis illumination (OAI) through reticle enhancement techniques (RET) on masks, using optical proximity correction (OPC) perhaps derived from inverse lithography technology (ILT). Lithographers can form 40-45nm wide lines and spaces at the same half-pitch using 193nm light (from ArF lasers) in a single exposure.

Figure 1 shows that application-specific tri-layer photoresists are used to reach the minimum resolution of 193nm-immersion (193i) steppers in a single exposure. Tighter half-pitch features can be created using all manner of multi-patterning processes, including Litho-Etch-Litho-Etch (LELE or LE2) using two masks for a single layer or Self-Aligned Double Patterning (SADP) using sidewall spacers to accomplish pitch-splitting. SADP has been used in high volume manufacturing (HVM) of logic and memory ICs for many years now, and Self-Aligned Quadruple Patterning (SAQP) has been used in at least one leading memory fab.

FIGURE 1. Basic tri-layer resist (TLR) technology uses thin Photoresist over silicon-containing Hard-Mask over Spin-On Carbon (SOC), for patterning critical layers of advanced ICs. (Source: Brewer Science)

FIGURE 1. Basic tri-layer resist (TLR) technology uses thin Photoresist over silicon-containing Hard-Mask over Spin-On Carbon (SOC), for patterning critical layers of advanced ICs. (Source: Brewer Science)

Next-Generation Lithography (NGL) generally refers to any post-optical technology with at least some unique niche patterning capability of interest to IC fabs: Extreme Ultra-Violet (EUV), Directed Self-Assembly (DSA), and Nano-Imprint Lithography (NIL). Though proponents of each NGL have dutifully shown capabilities for targeted mask layers for logic or memory, the capabilities of ArF dry and immersion (ArFi) scanners to process >250 wafers/ hour with high uptime dominates the economics of HVM lithography.

The world’s leading lithographers gather each year in San Jose, California at SPIE’s Advanced Lithography conference to discuss how to extend optical lithography. So of all the NGL technologies, which will win out in the end?

It is looking most likely that the answer is “all of the above.” EUV and NIL could be used for single layers. For other unique patterning application, ArF/ArFi steppers will be used to create a basic grid/template which will be cut/trimmed using one of the available NGL. Each mask layer in an advanced fab will need application-specific patterning integration, and one of the rare commonalities between all integrated litho modules is the overwhelming need to improve pattern overlay performance.

Naga Chandrasekaran, Micron Corp. vice president of Process R&D, provided a fantastic overview of the patterning requirements for advanced memory chips in a presentation during Nikon’s LithoVision technical symposium held February 21st in San Jose, California prior to the start of SPIE-AL. While resolution improvements are always desired, in the mix-and-match era the greatest challenges involve pattern overlay issues.

“In high volume manufacturing, every nanometer variation translates into yield loss, so what is the best overlay that we can deliver as a holistic solution not just considering stepper resolution?” asks Chandrasekaran.

“We should talk about cost per nanometer overlay improvement.”

Extreme Ultra-Violet (EUV)

As touted by ASML at SPIE-AL, the brightness and stability and availability of tin-plasma EUV sources continues to improve to 200W in the lab “for one hour, with full dose control,” according to Michael Lercel, ASML’s director of strategic marketing. ASML’s new TWINSCAN NXE:3350B EUVL scanners are now being shipped with 125W power sources, and Intel and Samsung Electronics reported run their EUV power sources at 80W over extended periods.

During Nikon’s LithoVision event, Mark Phillips, Intel Fellow and Director of Lithography Technology Development for Logic, summarized recent progress of EUVL technology: ~500 wafers-per-day is now standard, and ~1000 wafer-per-day can sometimes happen. However, since grids can be made with ArFi for 1/3 the cost of EUVL even assuming best productivity for the latter, ArFi multi-patterning will continue to be used for most layers.

“Resolution is not the only challenge,” reminded Phillips. “Total edge-placement-error in patterning is the biggest challenge to device scaling, and this limit comes before the device physics limit.”

Directed Self-Assembly (DSA)

DSA seems most suited for patterning the periodic 2D arrays used in memory chips such as DRAMs. “Virtual fabrication using directed self-assembly for process optimization in a 14nm DRAM node” was the title of a presentation at SPIE-AL by researchers from Coventor in which DSA compared favorably to SAQP.

Imec presented electrical results of DSA-formed vias, providing insight on DSA processing variations altering device results. In an exclusive interview with Solid State Technology and SemiMD, imec’s Advanced Patterning Department Director Greg McIntyre reminds us that DSA could save one mask in the patterning of vias which can all be combined into doublets/triplets, since two masks would otherwise be needed to use 193i to do LELE for such a via array. “There have been a lot of patterning tricks developed over the last few years to be able to reduce variability another few nanometers. So all sorts of self-alignments.”

While DSA can be used for shrinking vias that are not doubled/tripled, there are commercially proven spin-on shrink materials that cost much less to use as shown by Kaveri Jain and Scott Light from Micron in their SPIE-AL presentation, “Fundamental characterization of shrink techniques on negative-tone development based dense contact holes.” Chemical shrink processes primarily require control over times, temperatures, and ambients inside a litho track tool to be able repeatably shrink contact hole diameters by 15-25 nm.

Nano-Imprint Litho (NIL)

For advanced IC fab applications, the many different options for NIL technology have been narrowed to just one for IC HVM. The step-and-pattern technology that had been developed and trademarked as “Jet and Flash Imprint Lithography” or “J-FIL” by, has been commercialized for HVM by Canon NanoTechnologies, formerly known as Molecular Imprints (http://cnt.canon.com/). Canon shows improvements in the NIL mask-replication process, since each production mask will need to be replicated from a written master. To use NIL in HVM, mask image placement errors from replication will have to be reduced to ~1nm, while the currently available replication tool is reportedly capable of 2-3nm (3 sigma).

Figure 2 shows normalized costs modeled to produce 15nm half-pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. Key to throughput is fast filling of the 26mmx33mm mold nano-cavities by the liquid resist, and proper jetting of resist drops over a thin adhesion layer enables filling times less than 1 second.

FIGURE 2. Relative estimated costs to pattern 15nm half- pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. (Source: Canon)

FIGURE 2. Relative estimated costs to pattern 15nm half- pitch lines/spaces for different lithography technologies, assuming 125 wph for a single EUV stepper and 60 wph for a cluster of 4 NIL tools. (Source: Canon)

Researchers from Toshiba and SK Hynix described evaluation results of a long-run defect test of NIL using the Canon FPA-1100 NZ2 pilot production tool, capable of 10 wafers per hour and 8nm overlay, in a presentation at SPIE-AL titled, “NIL defect performance toward high- volume mass production.” The team categorized defects that must be minimized into fundamentally different categories—template, non-filling, separation-related, and pattern collapse—and determined parallel paths to defect reduction to allow for using NIL in HVM of memory chips with <20nm half-pitch features.

Cypress CEO to step down


April 28, 2016

Cypress Semiconductor Corp. (NASDAQ:  CY) today announced that its CEO, T.J. Rodgers, will step down this week and that a search—both internal and external—would be launched to replace him.  In the interim, daily operational activities will be taken over by an Office of the CEO comprised of four current Cypress EVPs: Hassane El-Khoury (EVP, Programmable Systems Division), Dana Nazarian (EVP, Memory Products Division), Joe Rauschmayer (EVP, Manufacturing) and Thad Trent (CFO).  Rodgers will remain on the Cypress Board and become a project leader working on key technical projects.

Rodgers said, “This March, Valeta and I celebrated my 68th birthday in Mexico.  Upon reflection, while I am still passionately interested in Element 14, silicon, I have always planned not to be spending most of my time in the last decade of my career immersed in the details of the operations, including those of the 7,000-person company that Cypress has become.  And, to be completely candid, the board and even the executive staff have urged me to bring new blood into operations.  Thus, the first-quarter 2016 report, my 120th as Cypress’s CEO, will be my last.  More importantly to me, I will now be able to work full time on the technology that has fascinated me since my mother first kindled my interest in electronics when I was a fifth-grader.”

Rodgers continued, “I have always reserved about 30 percent of my time to work on technology and one key project.  This activity adds value to the company and remains of high interest to me at this stage of my career.  In the future, Cypress management will be able to assign a key project to me and count on it getting done right.”