Category Archives: Wafer Processing

With the semiconductor industry in Vietnam expected to grow at a compound annual growth rate (CAGR) of 14.3% over the period of 2014 to 2019, momentum is growing in this emerging market. The electronics supply chain will convene at SEMICON Southeast Asia (SEA) from 26-28 April at the Subterranean Penang International Convention and Exhibition Centre (SPICE) in Penang, Malaysia to explore new opportunities and technology.

The increase in Vietnam is driven by the recent increase in demand for devices. Electronics accounted for 23% of all Vietnam exports in 2014, up from only 5% in 2010, making it a key development focus of the Vietnamese government (Vietnam Trade Promotion Agency). “Foreign direct investment” manufactured goods make up a large portion of the electronics export market, with only a small percentage contributed by local Vietnam companies. The electronics industry in Vietnam increased its market share of the electronics industry to 38% in 2014, and with the increasing number of electronic items, Vietnam finds itself at the cusp of being an important electronics exporter within the region.

SEMI, the global industry association serving the electronics manufacturing supply chain, will include programs pertinent to Vietnam’s semiconductor industry at the upcoming SEMICON SEA 2016, the region’s premier showcase for microelectronics innovation.

According to Ng Kai Fai, president of SEMI Southeast Asia, “Forums and discussion sessions during SEMICON SEA 2016 benefit electronic players from Vietnam in terms of technology development as well as the bigger picture of Vietnam’s market segment. The conference is an ideal platform for local semiconductor companies and start-ups to learn more about the technology trends that can be applied to Vietnam’s electronics growing importance. At the same time, multinational corporations use this event to learn about the Vietnam supply chain, assisting the build-up of a local ecosystem over the long run.”

“SEMICON SEA 2016 offers a complete platform for engaging customers, suppliers, engineers and decision-makers from across the industry. With the objective to champion regional collaboration, the showcase will open new business opportunities for customers and foster stronger cross-regional engagement. The event is sold-out for exhibitors for the first-time ever. With 200 global exhibitors and more than 60 industry luminaries presenting at the event, it offers a compelling reason why Vietnamese semiconductor stakeholders should attend this “don’t miss” electronics event,” Ng Kai Fai added.

SEMICON SEA 2016 will focus on the key trends and solutions in semiconductor design and manufacturing, including emphasis on serving the needs of expanding applications markets many of which require development of specialised materials, packaging, and test technologies, as well as new architectures and processes.

To register for SEMICON SEA 2016 or to explore exhibiting opportunities, visit http://www.semiconsea.org/ or contact Ms. Shannen Koh at [email protected].

Sponsors for SEMICON SEA 2016 include Advantest, Applied Materials, AMEC, ASE, Chip Shine, Edward Technologies, GLOBALFOUNDRIES, EV Group, Indium, KLA-Tencor, Kulicke & Soffa, Lam Research, SCREEN, Siemens, Tokyo Electron and Xcerra Corporation. Partners include Invest Penang, LEDExpo Thailand 2016, VLSI Consultancy, MATRADE, Malaysia Investment & Development Authority (MIDA), Ministry of Tourism and Culture Malaysia, Malaysia Convention & Exhibition Bureau (MyCEB), Penang Tourism, SAMENTA and Singapore Manufacturing Federation.

Worldwide semiconductor wafer-level manufacturing equipment revenue totaled $33.6 billion in 2015, a 1 percent decline from 2014, according to final results by Gartner, Inc. The top 10 vendors accounted for 77 percent of the market, down slightly from 78 percent in 2014.

“Slowing demand for key electronics end markets, combined with looming oversupply in memory, prompted semiconductor manufacturers to adopt conservative capital spending plans in 2015, which impacted spending on WFE,” said Bob Johnson, research vice president at Gartner. “Strength in memory spending was not sufficient to overcome caution in logic markets as major producers focused on logic process upgrades instead of adding new capacity.”

Applied Materials retained the No. 1 position in the WFE market with 1.3 percent growth (see Table 1). The industry’s investments in 3D device manufacturing, fin field-effect transistor (FinFET) and 3D NAND were the main drivers for the company’s growth in 2015. Lam Research experienced the strongest growth of the top 10 vendors in 2015, moving into the No. 2 position. The move of the industry to 3D device manufacturing pushed the company to 24.7 percent growth. Lam continues to be the dominant conductor etch manufacturer, but competition in the etch and deposition segment is expected to be fierce moving forward.

Table 1. Top 10 Companies’ Revenue From Shipments of Total Wafer-Level Manufacturing Equipment, Worldwide (Millions of U.S. Dollars)

Rank 2015

Rank 2014

Vendor

2015

Revenue

2015 Market Share (%)

2014

Revenue

2014-2015 Growth (%)

1

1

Applied Materials

6,420.2

19.1

6,335.1

1.3

2

4

Lam Research

4,808.3

14.3

3,857.0

24.7

3

2

ASML

4,730.9

14.1

5,634.5

-16.0

4

3

Tokyo Electron

4,325.0

12.9

4,666.7

-7.3

5

5

KLA-Tencor

2,043.2

6.1

2,129.2

-4.0

6

6

Screen Semiconductor Solutions

971.5

2.9

1,128.0

-13.9

7

10

Hitachi High-Technologies

788.3

2.3

937.3

-15.9

8

7

Nikon

724.2

2.2

818.1

-11.5

9

9

Hitachi Kokusai

633.8

1.9

599.3

5.7

10

13

ASM International

582.5

1.7

557.2

4.5

Others

7,576.7

22.5

7,271.2

4.2

Total Market

33,604.3

100

33,933.6

-1.0

Source: Gartner (April 2016)

“Capital spending in 2015 was selective, with logic manufacturers focused on upgrades and the latest technology buys, while memory added new capacity in response to increased demand and favorable pricing,” said Mr. Johnson. “However, there was another factor at work: Both the yen and euro declined significantly against the dollar in 2015. In a market which was essentially flat over the previous year, the changes in these exchange rates had a noticeable effect, especially in the lithography segment, where all tools are priced in either euros or yen.”

In dollar terms, lithography dropped 13 percent, the largest decline of any of the major segments. Two segments were especially strong: The ion implant segment grew 24 percent, and the material removal and clean segment grew 6 percent. Process control overall declined 2.5 percent, with the optical patterned wafer inspection segment dropping 15 percent as manufacturers held back on purchases of new inspection tools.

Additional information is provided in the Gartner report “Market Share: Semiconductor Wafer-Level Manufacturing Equipment, Worldwide, 2015.” The report provides rankings and market share for the top 10 vendors. In 2015, Gartner changed the segment reporting to focus on wafer-level manufacturing and is no longer providing segment details for die-level packaging or automatic test. This report is limited to wafer-level manufacturing equipment.

Entegris, Inc. (Nasdaq:ENTG), a provider of yield-enhancing materials and solutions for advanced manufacturing processes, announced the appointment of Sue Lee as Senior Vice President, General Counsel and Secretary. Ms. Lee is assuming the role from Peter Walcott, who is retiring after a 35-year career with Entegris and its predecessor companies.

Sue Lee

Sue Lee

Most recently, Ms. Lee was general counsel and corporate secretary with CYREN, a network security firm. Prior to CYREN, she served as general counsel for Harmonix Music Systems, was vice president of business & legal affairs for MTV Networks, and was counsel at Genzyme Corporation. Prior to joining Genzyme, Ms. Lee worked at Cleary Gottlieb Steen & Hamilton in New York. Born in Taiwan, Ms. Lee received her bachelor’s degree magna cum laude from Harvard University and her J.D. from Harvard Law School.

Bertrand Loy, president and CEO of Entegris commented, “I am delighted to welcome Sue to the Entegris team. Sue brings us an impressive background of deep corporate legal expertise and broad experience with international technology companies.”

A simple filtration process helped Rice University researchers create flexible, wafer-scale films of highly aligned and closely packed carbon nanotubes.

Scientists at Rice, with support from Los Alamos National Laboratory, have made inch-wide films of densely packed, chirality-enriched single-walled carbon nanotubes through a process revealed today in Nature Nanotechnology.

In the right solution of nanotubes and under the right conditions, the tubes assemble themselves by the millions into long rows that are aligned better than once thought possible, the researchers reported.

Rice University researchers discovered a method to make highly aligned nanotube films. The films may become valuable for flexible electronics and photonic devices. Credit: Jeff Fitlow/Rice University

Rice University researchers discovered a method to make highly aligned nanotube films. The films may become valuable for flexible electronics and photonic devices. Credit:
Jeff Fitlow/Rice University

The thin films offer possibilities for making flexible electronic and photonic (light-manipulating) devices, said Rice physicist Junichiro Kono, whose lab led the study. Think of a bendable computer chip, rather than a brittle silicon one, and the potential becomes clear, he said.

“Once we have centimeter-sized crystals consisting of single-chirality nanotubes, that’s it,” Kono said. “That’s the holy grail for this field. For the last 20 years, people have been looking for this.”

The Rice lab is closing in, he said, but the films reported in the current paper are “chirality-enriched” rather than single-chirality. A carbon nanotube is a cylinder of graphene, with its atoms arranged in hexagons. How the hexagons are turned sets the tube’s chirality, and that determines its electronic properties. Some are semiconducting like silicon, and others are metallic conductors.

A film of perfectly aligned, single-chirality nanotubes would have specific electronic properties. Controlling the chirality would allow for tunable films, Kono said, but nanotubes grow in batches of random types.

For now, the Rice researchers use a simple process developed at the National Institute of Standards and Technology to separate nanotubes by chirality. While not perfect, it was good enough to let the researchers make enriched films with nanotubes of different types and diameters and then make terahertz polarizers and electronic transistors.

The Rice lab discovered the filtration technique in late 2013 when graduate students and lead authors Xiaowei He and Weilu Gao inadvertently added a bit too much water to a nanotube-surfactant suspension before feeding it through a filter assisted by vacuum. (Surfactants keep nanotubes in a solution from clumping.)

The film that formed on the paper filter bore further investigation. “Weilu checked the film with a scanning electron microscope and saw something strange,” He said. Rather than drop randomly onto the paper like pickup sticks, the nanotubes – millions of them – had come together in tight, aligned rows.

“That first picture gave us a clue we might have something totally different,” He said. A year and more than 100 films later, the students and their colleagues had refined their technique to make nanotube wafers up to an inch wide (limited only by the size of their equipment) and of any thickness, from a few to hundreds of nanometers.

Further experiments revealed that each element mattered: the type of filter paper, the vacuum pressure and the concentration of nanotubes and surfactant. Nanotubes of any chirality and diameter worked, but each required adjustments to the other elements to optimize the alignment.

The films can be separated from the paper and washed and dried for use, the researchers said.

They suspect multiwalled carbon nanotubes and non-carbon nanotubes like boron nitride would work as well.

Co-author Wade Adams, a senior faculty fellow at Rice who specializes in polymer science, said the discovery is a step forward in a long quest for aligned structures.

“They formed what is called a monodomain in liquid crystal technology, in which all the rigid molecules line up in the same direction,” Adams said. “It’s astonishing. (The late Rice Nobel laureate) Rick Smalley and I worked very hard for years to make a single crystal of nanotubes, but these students have actually done it in a way neither of us ever imagined.”

Why do the nanotubes line up? Kono said the team is still investigating the mechanics of nucleation — that is, how the first few nanotubes on the paper come together. “We think the nanotubes fall randomly at first, but they can still slide around on the paper,” he said. “Van der Waals force brings them together, and they naturally seek their lowest-energy state, which is in alignment.” Because the nanotubes vary in length, the researchers suspect the overhangs force other tubes to line up as they join the array.

The researchers found their completed films could be patterned with standard lithography techniques. That’s yet another plus for manufacturers, said Kono, who started hearing buzz about the discovery months before the paper’s release.

“I gave an invited talk about our work at a carbon nanotube conference, and many people are already trying to reproduce our results,” he said. “I got so much enthusiastic response right after my talk. Everybody asked for the recipe.”

Global semiconductor revenues fell by 2 percent in 2015. Sequential quarterly growth was weak throughout every quarter of 2015, especially in the first quarter when the market declined 8.9 percent over the previous quarter — the deepest sequential quarterly decline since the semiconductor market collapsed in the fourth quarter of 2008 and first quarter of 2009.  Global revenue in 2015 totaled $347.3 billion, down from $354.3 billion in 2014, according to IHS Inc. (NYSE: IHS), a global source of critical information and insight. The market drop follows solid growth of 8.3 percent in 2014 and 6.4 percent in 2013.

“Weak results last year signal the beginning of what is expected to be a three-year period of declining to stagnant growth for semiconductor revenues,” said Dale Ford, vice president and chief analyst at IHS Technology. “Anemic end-market demand in the major segments of wireless communications, data processing and consumer electronics will hobble semiconductor growth during this time.”

Overall semiconductor revenue growth will limp along at roughly 2.1 percent growth compound annual growth rate (CAGR) between 2015 and 2020, according to the latest information from the IHS Semiconductors Service. Current technology, economic, market and product trends suggest that sometime between 2020 and 2022 new products will come to market that will enable a significant level of growth in semiconductor revenues.

Reshaping the leader board

“Of course the big story for the semiconductor industry was the record level of merger-and-acquisition activity last year,” Ford said. “Top players pursued bold, strategic maneuvers to enhance their market position and improve overall revenue growth and profitability.”

Intel retained its number one ranking in 2015, after completing its acquisition of Altera, which allowed the company to offset declining processor revenues and achieve 2.9 percent overall growth in 2015.  Qualcomm slipped to number four in the rankings as its revenues fell by 14.5 percent, because the company’s 2015 acquisition of CSR was not enough to counter declining revenues in the wireless markets.  The final major deal among the top 10 in 2015 was NXP’s acquisition of Freescale, which boosted it from number 15 in the 2014 rankings to number seven in 2015.

Among the top 20, Infineon’s acquisition of International Rectifier enabled it to jump to number 12 in 2015.  Announced deals that are expected to close in the first half of 2016 will continue to reshape the leader board. Avago Technologies continues its aggressive acquisition activity with its purchase of Broadcom. Broadcom is already ranked at number nine in 2015.  The combined revenues of the two companies would place them at number five overall.  ON Semiconductor’s acquisition of Fairchild Semiconductor should boost it up two notches in the rankings.

Among the top 25 semiconductor suppliers, 14 companies achieved growth in 2015.  This stands in sharp contrast to the overall semiconductor market where less than 42 percent of 285 companies tracked by IHS were able to achieve positive revenue results in 2015.

semiconductor slump graph

A reversal of fortunes

Whereas 2014 was a year of broad-based strength and growth, the market downturn last year left few markets unscathed. Semiconductor revenues for data processing, wired communications and consumer electronics all declined.  Automotive electronics and industrial electronics grew less than 1 percent, while wireless communications — the strongest growth area — only grew 3 percent. Semiconductor revenues in all regions of the world declined, and all seven of the major semiconductor segments (i.e., memory integrated circuits (ICs), microcomponents, logic ICs, analog ICs, discrete components, optical components and sensors) experienced revenue declines from 2014 to 2015.  In fact, out of 128 semiconductor segments and sub-segments tracked by IHS, 89 declined.  Combined, these 89 segments accounted for over 77 percent of semiconductor revenues in 2015.

In 2014, five of the six semiconductor end-market segments grew; only consumer electronics declined.  All regions, except Japan, achieved revenue growth and 88 out of 128 semiconductor segments and sub segments accounted for over 83 percent of semiconductor market revenue growth.  In 2014, 195 of 307 companies tracked achieved positive growth.  These companies accounted for 83 percent of total semiconductor market revenues.  The number of companies achieving growth in 2015 fell to 119 out of 285 companies tracked.  These 119 companies only accounted for 64 percent of total semiconductor market revenues.

The few bright glimmers from 2015

Only ten semiconductor market sub-segments worth more than $1 billion in annual revenue grew more than 5 percent year over year in 2015.  Wireless communications logic application-specific integrated circuits (ASICs) and analog ASICs both grew 30 percent, while radio-frequency (RF) small signal transistors, wired communications logic ASICs and wireless communications application-specific standard products (ASSPs) grew between 10 percent and 20 percent.

Synopsys, Inc. (Nasdaq:  SNPS) today announced Intel Custom Foundry’s certification of digital and signoff implementation tools from the Synopsys Galaxy Design Platform for Intel’s 10-nanometer (nm) tri-gate process technology. Synopsys and Intel Custom Foundry employed a PowerVR GT7200 GPU design from Imagination Technologies to develop the reference flow. Customers of Intel Custom Foundry now have access to the 10nm system-on-chip (SoC) design methodology based on the technology-leading Synopsys Galaxy Design Platform, anchored by IC Compiler II.

Key components and features of the Galaxy Design Platform certified and enabled for Intel’s 10-nm process include:

  • IC Compiler II place and route: Seamless support for the advanced, multi-patterning requirements and optimization technologies to maximize the benefits of advanced tri-gate processes
  • PrimeTime timing signoff: SPICE-level correlation with support for Advanced Waveform Propagation to model tri-gate effects
  • StarRC extraction: Multi-patterning, full color-aware variation modeling and 3D tri-gate modeling
  • IC Validator signoff physical verification: In-Design, automated DRC repair and metal fill within IC Compiler II; and layout-vs.-schematic (LVS) signoff
  • HSPICE, FineSim SPICE and CustomSim simulation: Tri-gate active and passive device modeling via common model and passive device model interfaces

Architected around a modern, low-memory footprint and natively multi-threaded infrastructure, IC Compiler II can easily handle very large designs with proven capacity for over 10-million instances. IC Compiler II delivers industry-leading, ultra-high-capacity automated design planning, unique clock-building technology and patented global-analytical optimization that result in a highly convergent design implementation flow.

IC Validator strengthens the reliability of 10nm designs by checking electrical overstress (EOS) rules and by significantly improving the handling of multi-voltage rules. Multi-voltage checking is easier with automatic voltage propagation to all polygons, and violations are reported with greater precision. For static discharge protection, IC Validator’s programmable extended electrical rule checking (EERC) is certified for validation of mixed-mode checks that combine netlist with geometric checks.

“Intel Custom Foundry has been collaborating with Synopsys on multiple generations of products using Intel’s tri-gate process technology,” said Dr. Changhong Dai, vice president, Technology and Manufacturing Group, and director, Technology Optimization Solutions at Intel. “We build on this history with today’s announcement for the early adopters of our 10-nm process—the third-generation tri-gate technology that offers superior PPA (Power, Performance and Area). Certification of the Synopsys Galaxy Design Platform allows our mutual customers to implement, verify, and signoff differentiated, leading-edge SoC designs.”

“PowerVR Series7XT GPUs including the GT7200 used in this certification are ideal for a wide range of mid-range and high-end devices requiring the highest possible performance, efficiency, configurability, and security,” said Tony King-Smith, EVP marketing, Imagination. “Imagination worked closely with Intel Custom Foundry and Synopsys in tool certification on Intel’s 10-nm process. This effort continues our long time collaboration with Synopsys and Intel Custom Foundry to ensure design flows deliver excellent PPA results for our mutual customers.”

“This collaboration extends our long-standing and deep partnership with Intel Custom Foundry to deliver a certified solution for their most advanced 10nm process technology,” said Antun Domic, executive vice president and general manager of the Design Group at Synopsys. “Together, we continue to serve our mutual customers’ needs with utmost confidence.”

In the world of nano-scale technology, where work is conducted at the atomic level, even the smallest changes can have an enormous impact. And a new discovery by a University of Alberta materials engineering researchers has caught the attention of electronics industry leaders looking for more efficient manufacturing processes.

Triratna Muneshwar, a postdoctoral fellow in the Department of Chemical and Materials Engineering and Ken Cadien, a materials engineering professor, have developed a new method of making thin films–materials that are essential in today’s computers and electronic devices–by adapting current atomic layer deposition techniques.

Atomic layer deposition (ALD) is exactly what the name implies. Thin films are coated with molecule-thin layers of materials like zinc, silicon, nitrogen, and so on. In the manufacturing process, the film is placed inside a small chamber and prepared by being treated with a “sticky” precursor layer. Gasses are then pumped inside, coating and chemically binding to receptors on the precursor layer.

The problem is that some of the molecules coming to rest on top of the precursor layer are so large that they block other receptor points. It’s like five people taking up 10 seats on a bus.

However, Muneshwar observed that those large molecules almost immediately shed ligands that do not connect to the precursor layer, freeing up previously blocked receptors. But by this time, the gas has been pumped out of the chamber and cannot be used a second time. “Although few strategies have been proposed to recycle this unreacted gas, residual impurities within remains a serious concern,” he notes.

Muneshwar wondered if he could create a more dense and uniform layer by pumping gas into the chamber in smaller doses, waiting just a fraction of a second for the ligands to slough off and free up receptors, and then pumping in another small dose of gas.

He developed the idea while working as a PhD under Cadien’s supervision.

“My interest in this came about in a conversation with Dr. Cadien and one of his colleagues who said that precursor costs are a challenge,” said Muneshwar. Then, while attending an international conference in last year, Muneshwar asked industry engineers and researchers about ALD and precursor costs in particular.

“I asked one fellow ‘What if I could cut your precursor costs in half?’ and he realized the impact this would have on their manufacturing processes. Later that day when I ran into him, I was told that he discussed this idea with his boss and they would be very interested in our work,” Muneshwar said.

After returning to campus, Muneshwar began crunching numbers and found that on paper, the pulsed layering concept held promise. After refining his work, Muneshwar had developed a mathematical model that demonstrated the technique would work.

“In a lot of cases you do an experiment and then come up with the formula that explains what happened,” Cadien said. “But Triratna wrote the model first and it predicted exactly what happened in the experiment.”

Muneshwar and Cadien have published a paper on their discovery in the Journal of Applied Physics. Since the article’s appearance, they have been contacted by industry leaders requesting copies of the paper.

While small amounts of materials like zinc or silicon are required to produce thin film devices, Cadien says the costs are not insignificant–they can come in at $500 or $600 per gram and the current processes are wasteful, dosing surfaces with anywhere from 100 to 10,000 times the molecules required.

“Some of these are big molecules and in semiconductor manufacturing if you’re a company producing 10,000 12-inch wafers a week–small amounts of something add up to big amounts of something.”

The market precursors used in ALD is estimated to hit $400 million U.S. by 2020.

The two hope their discovery can lead to collaborative work with new industry partners in the future. Cadien notes that Muneshwar’s work could have a lasting impact on industrial practices because he was willing to experiment with the high-tech equipment available to him here.

“There are more than 1,000 atomic layer deposition systems in the world,” said Cadien, “but there’s only a small handful of people asking why and how these things work, who are trying new things. When you’re doing that, you can come up with breakthroughs like this.”

BY PETE SINGER, Editor-in-Chief

China has become the largest and the fastest growing market in the world. 40% of the worldwide semiconductor shipments go to China and that’s expected to increase to almost 42% in 2019.

The “National Semiconductor Industry Development Guidelines” and “Made in China 2025” were published by China’s State Council in June 2014 and May 2015, respectively. Both policies have already led to a major push in the development of the local IC industry, with investments in semiconductor memories, design, foundries, OSATS, and equipment and materials.

Based on the “National Semiconductor Industry Development Guidelines,” a US$19 billion national industry investment fund has been set up to help local foundries finance the build-up of advanced manufacturing processes, and also to assist local IC firms to form mergers and/or make acquisitions internationally. Dieter Ernst, a Senior Fellow at the East West Center In Hawaii says with this plan, China seeks to move from the catching up stage to a full-scale forging ahead.

With the “Made in China 2025” initiative, China is aiming to improve the self-sufficiency rate for ICs in the nation to 40% in 2020, and boost the rate further to 70% in 2025.

What will be key is how Chinese companies can gain access to 16/14nm, 10nm, and 7nm technologies as well as DRAM and 3D NAND technologies.

According to Handel Jones of IBS, who spoke at SEMI’s Industry Strategy Symposium earlier this year, China is also strongly positioned in 5G. “China will be the global leader in 5G,” he said. Based on an analysis of Huawei, Ericsson, Nokia and others, Jones said Huawei – which is investing about $1 billion/year — is ahead. “That’s going to have a fairly disruptive effect on the supply chain,” he said. He expects early development in 2017/2018 and then fairly extensive deployment in 2020.

In a recent report, “From Catching Up to Forging Ahead: China’s Policies for Semiconductors,” Ernst points out that while the opportunities for China are real, they all involve considerable uncertainty. “Basic parameters that determine how China will fare may change at short notice and in unpre- dictable ways,” he said. To succeed, China needs to move toward a bottom-up, market-led approach.

Integrated sub-fab systems allow HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals.

BY ANDREW CHAMBERS, Edwards Ltd., Clevedon, UK

The relentless scaling of structures and reduction in thermal process budgets that characterize state- of-the-art integrated circuit (IC) production have resulted in the incorporation of many complex and hazardous materials into high-volume manufacturing (HVM) processes. In order to meet the need to deposit these materials at ever-lower temperatures, many of the new process chemicals have low vapor pressures, are highly reactive and present serious hazards to personnel and equipment. Many new CVD precursors and their associated reaction by-products are flammable, pyrophoric, toxic (harmful-to-health), corrosive or otherwise hazardous to personnel or destructive to equipment, and have a tendency to condense in pipe-work, including process exhausts.

In this article we will review the risks associated with these materials and describe methods for mitigating process exhaust pipe hazards in high-volume manufacturing. In particular, we will describe an approach based on the integrating vacuum pumps and point-of-use abatement systems with essential safety devices and monitoring systems into a complete sub-fab vacuum and abatement solution. Such modular integrated sub-fab systems ensure safe system operation, including mitigation of process exhaust hazards, and reduce exposure of service staff to hazardous materials.

Process gas and reaction product hazards

Clearly, exposure of staff and equipment to hazardous chemicals leaking from process exhausts is a serious concern and careful attention to the design, control, safety qualification and maintenance of process exhaust systems is essential in configuring a safe and reliable sub fab operations.
The properties of process chemicals may be altered significantly as they pass through a process tool, and reaction products found in process tool exhausts may differ markedly from the original process precursors. For example, while high flows of tetraethylorthosilicate (TEOS) are widely used in CVD processes for deposition of silicon oxide films, the concentration of residual unreacted TEOS in a CVD process tool exhaust is minimal [1]. Instead, the TEOS is decomposed in the process chamber to form a greater volume of mixed hydrocarbon gases (ethene and ethanol, for example [2]), which are then pumped out of the process chamber into the process exhaust. When the safety of process exhausts is evaluated in the design of protective measures, interactions and transformations of process gases such as this must be considered carefully.

Deposition of hazardous materials in exhausts

In some cases, the process by-products which pass into the exhaust pipe are condensable. Frequently encountered condensable by-products include aluminum chloride (AlCl3) in metal etch, ammonium chloride (NH4Cl) in LPCVD nitride, and ammonium hexafluorosilicate ((NH4)2(SiF6)) in PECVD nitride. Several of these condensates have also been found to incorporate partly-reacted hazardous materials. For example, partly- reacted silicon-containing compounds which condense in exhaust pipes during a PECVD process may react violently with fluorine gas which flows through the exhaust pipe during a subsequent chamber cleaning process. This has caused exhaust pipe fires and serious equipment damage in a number of cases (FIGURE 1).

Screen Shot 2016-03-30 at 12.35.45 PM

In addition to the reactivity hazard posed by these materials, accumulation of condensed material during processing can block exhaust pipes, causing process tool downtime and possibly loss of production. Furthermore, the reaction of condensed fluorine- or chlorine-containing materials with atmospheric water vapor during removal and cleaning of exhaust pipes can release HF or HCl gas or other hazardous substances, posing a serious risk to service staff and requiring preventive measures.

A particularly serious example of harmful deposited materials in exhaust pipes is the condensation of extremely reactive polysiloxane materials in Si epi or Si-Ge epi exhausts [3]. These materials are particularly hazardous since they can react unpredictably and violently (explosively) on exposure to water vapor or air, or if they suffer a mechanical shock when the exhaust pipes are removed for cleaning. The consequences of process gases escaping through leaks in exhaust pipes and the tendency of materials to condense in process exhaust pipes should be carefully considered when a process exhaust system is designed. Indeed, the exhaust pipe should be considered as an important functional element of the whole sub-fab process tool support system, otherwise there may be increased risks of staff injury and process tool downtime.

Leak integrity of process exhausts

Escape of process gases or reaction products from leaking process exhaust pipes presents serious risks to fab operations. For example:

• Flammable gas escaping from exhaust pipes may mix with air in closed spaces to create a fire risk
• Toxicgasesleakingoutofnon-enclosedexhaustpipes present an injury risk to fab personnel
• Corrosive gases leaking out of non-enclosed process exhausts can harm personnel and cause severe damage to fab equipment
• Process gas odors may cause complaints from fab staff or local residents

Typically, area gas detectors are deployed in fabs to warn of process gas leaks. These are very effective in detecting escaping process gas, but when they are activated process operations are interrupted and fab output affected. Furthermore, gas detectors cannot detect inward leaks into reduced pressure pump exhausts, such as air entering exhaust pipes where it could mix with flammable process gases to form flammable mixtures. In the worst case, a flammable process gas / air mixture could be ignited by a local ignition source, such as a dry-pump or point-of-use abatement system, and cause an exhaust pipe fire.

Configuring the vacuum/abatement/exhaust components as a single coherent system can increase staff safety and manufacturing efficiency by reducing the risk of hazardous process gas escape and ensuring appropriate action if a leak is detected In particular, integrated sub fab systems enable the use of extracted secondary enclosures around vacuum pumps, point-of-use abatement systems, fuel gas delivery systems and all interconnecting pipework to contain escaping gas, while ownership, maintenance and integrity of the process exhaust pipes becomes the responsibility of the system supplier, rather than remaining undefined.

Exhaust dilution

A standard safety precaution widely used to avoid the possibility of fires in process exhausts is the dilution of flammable gases below their Lower Flammable Limit (LFL). However, there are risks with this strategy. Considering the previously cited example, if the required dilution flow is calculated based only on the volume of TEOS gas in the exhaust pipe, it will be insufficient to dilute the larger volume of hydrocarbon decomposition products below their LFL. A related risk is formation of a flammable mixture in the exhaust if there is an air leak into the exhaust pipe coincident with the TEOS being decomposed by the process chamber.

As noted above, the process dry-pump and point-of- use abatement system are both ignition sources that could ignite the hydrocarbon / air mixture and cause an exhaust pipe fire.

To operate process exhausts containing flammable gases safely using this strategy, not only must the dilution flow be calculated appropriately, but the vacuum and abatement system controller must include a capability to shut off the flammable gas flow from the process tool if the dilution flow should drop below some critical level, or if a fire occurs in the exhaust pipe, as required by semiconductor industry safety standards such as SEMI S18 [4].

In recent times, the risks associated with flammable and pyrophoric gases have become more severe as highly reactive compounds such as disilane and trimethyl aluminum have become more widely used in CVD processes. Some of these materials have extremely low LFLs – for example, disilane has a published LFL of 0.2% [5], and trimethyl aluminum is known to be extremely flammable though specific LFL data appears not to be widely available [6]. This characteristic makes their dilution to safe levels costly and inefficient from an operational efficiency perspective. For example, the low LFL of disilane requires a very large volume of nitrogen required to dilute it to a safe level, increasing the direct cost of the nitrogen and putting additional load on the fab facilities. The resulting high gas flow in the process exhaust increases the total cost of abatement by requiring larger, more expensive equipment, more sub-fab floor space, and a higher utility consumption. Finally, the abatement efficiency of highly-diluted process gases may be degraded, creating an environmental concern if emissions of process gas that exceed permitted levels.

Temperature control of process exhaust pipes

The risks posed by the condensation of process by-products in exhaust pipes can be mitigated by controlling the temperature of the exhaust pipes at a suitably high value (FIGURE 2). Commercial products are widely available to perform this function, but when selecting a suitable system, its capability to maintain a uniform temperature throughout the exhaust system should be considered carefully – in particular, cold spots caused by inadequate thermal insulation or lack of adequate real-time temperature control can cause localized by-product condensation and pipe blockage. At the other extreme, if exhaust pipes are heated to an excessively high temperature, unused CVD precursors may react, depositing solid materials in the exhaust pipe. Ideally, temperature will be actively and precisely controlled within a specified range.

Screen Shot 2016-03-30 at 12.35.52 PM

Integrated sub-fab systems

Integration of the process exhaust pipe assemblies together with dry-pumps and point-of-use abatement into a complete sub-fab system by the equipment manufacturer permits an optimization of safety, performance, efficiency and cost that cannot be achieved in the installation of discrete units by individual suppliers.

A typical integrated sub-fab system is designed to incorporate dry-pumps, point-of-use abatement systems, exhaust pipe assemblies, temperature management systems (TMS), together with all necessary safety devices, into a single entity which also includes a supervisory control system and all process tool and fab interfaces. Since all individual functional elements are integrated into a single unit, typically only one connection for each fab utility is required – not only does this reduce the overall installation cost of the sub-fab equipment, it also occupies less valuable sub-fabspace.Each such integrated system is typically used to support a single process tool, and is usually designed to fit conveniently within the “shadow” of the process tool in the sub-fab.

This close integration of the individual sub-fab functional elements into a unified system enables a reduction in risks associated with exhaust pipe leaks by continuously monitoring the leak status of the exhaust pipes, by monitoring the air extraction rate in secondary enclosures, and by monitoring the temperature and pressure in the process exhaust pipes. In the event of an excursion by any of these parameters into a critical condition, an integrated system can be designed to initiate shut-down of the process gas through its interfaces to the process tool, and alert the fab MES through its interface to a central monitoring system (CMS). Furthermore, real-time collection and processing of data from all the functional elements in the integrated system allows events leading up to previous alerts to be analyzed. Predictive algorithms can then be developed that can enable the CMS to antic- ipate or predict future failure events.

Provided the safety features of an integrated sub-fab system are properly designed, including those which specifically monitor the condition of the exhaust pipes, it becomes practical to reduce dilution rates of flammable gases safely, leading to significant reductions in required abatement capacity, capital equipment investment, utilities consumption and total operating costs in a high volume manufacturing environment (FIGURE 3).

Screen Shot 2016-03-30 at 12.35.59 PM

Implementation of Best Known Methods (BKMs)

Integrated sub-fab systems are typically built, installed and serviced by a single supplier, who takes responsibility for the complete system design, including all necessary safety functions and external interfaces. Safe sub-fab system operation is normally assured by a comprehensive safety assessment of the integrated system design and by compliance with global semiconductor industry safety standards such as SEMI S2 [7].

However, to ensure the most efficient operation it is also necessary to set-up the sub-fab system according to a Best Known Method (BKM) for each process tool. Application of process BKMs ensures that each integrated sub-fab system is fit-for-purpose to meet the specific require- ments of its allocated process tool, and shortens the time required to qualify the tool for process. Typically, sub-fab equipment suppliers use know-how based on experience of similar processes in other HVM facilities to define their own BKMs and set-up equipment properly. Once an integrated system is operational, service support, applications support and continuous improvement programs (CIP) are all available from a single source which ensures that all critical safety systems are properly maintained and comply with the latest BKMs (FIGURE 4).

Screen Shot 2016-03-30 at 12.36.06 PM

Summary

The concept of integrated sub-fab systems is a valuable tool that allows HVM fab operators to safely and efficiently implement new processes containing hazardous process chemicals. The integrated function- ality and comprehensive safety systems guard against hazardous process gas escape, leakage of air into exhausts containing flammable gas, and condensation of a wide range of hazardous materials in exhaust pipes. Collectively these attributes enable the safest and most efficient sub-fab operation for HVM.

References

1. Edwards Ltd. – unpublished data
2. M.G.M. Van Der Vis, E. Cordfunke, R. Konings: The thermody-
namic properties of Tetraethoxysilane and an infra-red study of its decomposition, Journal de Physique IV, 1993, 03 (C3), pp.C3-75-C3-82
3. Safety Applications Procedure SAP 00-01 – Pumping Reduced Pressure Epitaxy (RP Epi) Applications, Edwards Ltd.
4. SEMI S18-0312 Environmental, Health and Safety Guideline for Flammable Silicon Compound, SEMI
5. MSDS #1038 (Disilane) Air Products, Pub #320-708
6. MSDS #257222 (Trimethylaluminum) Sigma-Aldrich product
#257222
7. SEMI S2-0715, Environmental, Health and Safety Guideline for
Semiconductor Manufacturing Equipment, SEMI

ANDREW CHAMBERS currently holds the position of Senior Product Manager at Edwards Ltd., Clevedon BS21 6TH, UK [email protected]

Finding a short term solution to the neon gas shortage problem will be challenging.

BY HITOMI FUKUDA, Gigaphoton, Inc., Oyama, Japan

When many people think of neon, they think of brightly lighted signs used in restaurants and other retail environments. The element neon (Ne) gives a distinct reddish-orange glow when used in either low-voltage neon glow lamps or in high-voltage discharge tubes or neon advertising signs. The red emission line from neon is also responsible for the well known red light of helium–neon lasers. Neon is commercially extracted by the fractional distillation of liquid air. It is considerably more expensive than helium, since air is its only source.

What those outside the chip industry likely don’t know is that neon has been employed for semiconductor manufacturing for more than a decade, since deep ultraviolet (DUV) lithography came into widespread use starting with 248nm exposure systems. Why is neon important in lithography? Excimer lasers use gases like krypton fluoride (KrF) and argon fluoride (ArF) to generate light, and those gases are regularly changed out during use. However, a charge of excimer laser gas is actually about 98 percent neon, making this carrier gas essential to the laser’s operation. Three main steps are involved in producing gas suitable for excimer laser use: (1) bulk neon production, (2) purification, and (3) final mix.

Today, the semiconductor industry is experiencing severe neon shortages, leading to price increases that are impacting end-users’ bottom line. As a result, fab owners are rushing to secure enough neon to keep their facilities in operation, including buying the critical gas on the cash market and then having it purified and mixed to allow them to put it into use as quickly as possible.

Neon is a byproduct of steel production, but because it is a rare component of the waste gases, it must be recovered at very large steel plants. The former Soviet Union manufactured all of its oxygen plants for steel mills with neon, krypton and xenon capabilities and formerly worked on high-powered lasers as weapons, giving rise to significant neon capacity. Ukraine and Russia still operate the old-style massive manufacturing plants that have long since disappeared from Western countries, and have thus historically enabled the gas to be in over-supply.

From 1990 to 2012, many of these eastern European plants simply sent the crude neon into the atmosphere as no one would buy it. This over-supply began to tighten in 2014, as many old oxygen plants in Eastern Europe were either replaced by newer units without neon capability or shutdown altogether, especially with the contraction of the steel industry.

Why the shortage?

The neon crisis was triggered in part by conflict in the Ukraine, resulting in slowed production and escalating costs on the part of gas suppliers. Because neon is used for the majority of lithography light sources, the shortage caused many chip factories to face potential slowdown or even shutdown. In addition to gas prices increasing as much as 10 times over previous rates, chipmakers faced the prospect of a 15-percent or greater reduction in available supply of neon gas.

In China, old oxygen plants are being privatized or de-activated, or are being replaced by newer plants that lack the additional rare gas recovery investment. Even though there is a strong market for rare gases, the new plants are being put in without the rare gas capability due to a minimal ROI impact. Thus, while China has increased its market share in neon gas, the country’s purification facilities are few and far between, so the country currently lacks production capacity for high-grade purification of neon gas. Regional specialty gas suppliers have also reported diminished supplies, all of which has had severe implications for the future of lithography and global chip manufacturing.

Between 2012 and 2014, the net effect of the neon supply shortage was around 125 million liters of lost annual production. In 2015, neon production, at 400 million liters, was falling short of demand by roughly 75 million liters.

A deeper look at the problem

Semiconductor-related lithography accounts for about 70 percent of worldwide neon demand. As mentioned earlier, an excimer laser uses a multi-gas mixture. The term “excimer” refers to the rare gas / halide molecule. Each fill is dedicated to the generation of a single wavelength. Four wavelengths can be generated from fluorine laser gas mixtures: 157 nm (F2), 193 nm (ArF), 248 nm (KrF) and 351 nm (XeF).

According to some reports, the price of neon gas skyrocketed in 2014, from roughly $1,000 for a 6,000-liter bottle of the gas, to approximately $6,000 for the same quantity as of late 2015. This is evident as seen in FIGURE 1, where the different colors represent the various global chipmakers. Neon gas, minerals, and the industry workhorse—silicon — are among the critical materials vital to semiconductor industry operations. The industry has had to deal with shortages in helium and rare earths in recent years, but was able to find at least temporary solutions.

Screen Shot 2016-03-30 at 12.21.38 PM Screen Shot 2016-03-30 at 12.21.43 PM

Neon gas, on the other hand, appears to be a shortage for which finding a solution in the short term will be far more challenging. This problem is expected to continue for several years until a) sufficient new capacity comes on line, b) recycling can be implemented, or c) reprogramming of lasers can be accomplished, in order to allow for more efficient usage. In all likelihood, it will be a combination of all three of these factors that will alleviate the industry’s neon supply challenges, although getting prices back down to a more affordable level is likely to take longer.

Neon conservation

In the meantime, the industry is looking at ways to conserve neon gas to help stretch its usage until such as time as the larger issues begin to be addressed in a more long-term fashion. Important developments in neon conservation include recent excimer laser gas usage optimization efforts that have been put in place by lithographic tool and laser equipment vendors to help end-customers reduce consumption. Optimization can be achieved via software updates for current systems and may result in up to 40 percent more efficient neon usage. In addition, recovery and recycling of neon may be relatively straight-forward with few technical challenges, so several suppliers are proposing recycling and recovery plans.

With that said, the potential impact of these conservation efforts should be carefully considered, as some have the potential to put on hold, or even cancel, capital investment plans to produce more neon. This could mean the neon shortage would become exacerbated or prolonged beyond its current, already critical level.

To combat this crisis, Gigaphoton developed its unique Neon Gas Rescue Program, which expands on its previously announced program offering its eTGM technology for all new and existing GT series ArF immersion lasers.

The new program provides a more comprehensive package that includes the following:

1. A program for rapid qualification of new gas suppliers requested by customers. Previously, testing and qualification of a new gas supplier required anywhere from six to 12 months, but the new program will enable customers to begin using new gas suppliers much more quickly – cutting the qualification time down to as little as one month.

2. A limited, free-of-charge offer of the company’s eTGM technology will also be extended to the G41K series KrF lasers and GT40A series ArF lasers. This extended offer will commence in November 2015. By introducing eTGM, customers can reduce the laser’s neon usage by 25 percent on KrF and ArF lasers, and up to 50 percent on ArF immersion lasers.

3. The accelerated introduction of Gigaphoton’s newest gas recycling technology, hTGM, which can be applied to all types of lasers. hTGM is expected to begin roll-out later this year. By implementing the hTGM technology, customers will be able to recycle up to 50 percent of their gas consumption.

Conclusion

While the semiconductor industry is facing a unique challenge with the current neon gas supply shortage, it has history on its side in terms of innovative solutions. The lithography sector, in particular, has repeatedly found ways to extend and revitalize technology applications.

HITOMI FUKUDA is from the marketing team at Gigaphoton, 400 Yokokurashinden, Oyama-shi, Tochigi-ken 323-8558, Japan; email: [email protected]; www.gigaphoton.com.