Category Archives: Process Materials

Transphorm Inc., a designer and manufacturer of highest reliability (JEDEC and AEC-Q101 qualified) 650V gallium nitride (GaN) semiconductors, announced it received a $15 million investment from Yaskawa Electric Corporation. This news comes only a few weeks after Yaskawa revealed its integrated Σ-7 F servo motor relies on Transphorm’s high-voltage (HV) GaN to deliver unprecedented performance and power density. Transphorm intends to allocate the funds to various areas of its GaN product development.

“We’ve seen the benefits of working with gallium nitride from the R&D phases through to the application development phases of our products, such as photovoltaic converters and the integrated Σ-7 F servo motor,” said Yukio Tsutsui, General Manager of Corporate R&D Center from Yaskawa. “We look ahead to further developments from Transphorm and its cutting-edge technology.”

The integrated Σ-7 F products resulting from the companies’ co-development serves one of the core target markets that can benefit most from HV GaN: servo motors. The technology is also an optimal solution for automotive systems, data center and industrial power supplies, renewable energy and other broad industrial applications.

“Transphorm has consistently prioritized the quality and reliability of our GaN platform,” said Dr. Umesh Mishra, Chairman, CTO and co-founder of Transphorm. “That focus leads to strong customer relationships with visionaries such as Yaskawa and companies that not only innovate, but also influence market growth by demonstrating GaN’s real-world impact. Receiving Yaskawa’s recent support illustrates the rising confidence in GaN while underscoring its reliability.”

For the first time, physicists have developed a technique that can peer deep beneath the surface of a material to identify the energies and momenta of electrons there.

The energy and momentum of these electrons, known as a material’s “band structure,” are key properties that describe how electrons move through a material. Ultimately, the band structure determines a material’s electrical and optical properties.

The team, at MIT and Princeton University, has used the technique to probe a semiconducting sheet of gallium arsenide, and has mapped out the energy and momentum of electrons throughout the material. The results are published today in the journal Science.

By visualizing the band structure, not just at the surface but throughout a material, scientists may be able to identify better, faster semiconductor materials. They may also be able to observe the strange electron interactions that can give rise to superconductivity within certain exotic materials.

“Electrons are constantly zipping around in a material, and they have a certain momentum and energy,” says Raymond Ashoori, professor of physics at MIT and a co-author on the paper. “These are fundamental properties which can tell us what kind of electrical devices we can make. A lot of the important electronics in the world exist under the surface, in these systems that we haven’t been able to probe deeply until now. So we’re very excited — the possibilities here are pretty vast.”

Ashoori’s co-authors are postdoc Joonho Jang and graduate student Heun Mo Yoo, along with Loren Pfeffer, Ken West, and Kirk Baldwin, of Princeton University.

Pictures beneath the surface

To date, scientists have only been able to measure the energy and momentum of electrons at a material’s surface. To do so, they have used angle-resolved photoemission spectroscopy, or ARPES, a standard technique that employs light to excite electrons and make them jump out from a material’s surface. The ejected electrons are captured, and their energy and momentum are measured in a detector. Scientists can then use these measurements to calculate the energy and momentum of electrons within the rest of the material.

“[ARPES] is wonderful and has worked great for surfaces,” Ashoori says. “The problem is, there is no direct way of seeing these band structures within materials.”

In addition, ARPES cannot be used to visualize electron behavior in insulators — materials within which electric current does not flow freely. ARPES also does not work in a magnetic field, which can greatly alter electronic properties inside a material.

The technique developed by Ashoori’s team takes up where ARPES leaves off and enables scientists to observe electron energies and momenta beneath the surfaces of materials, including in insulators and under a magnetic field.

“These electronic systems by their nature exist underneath the surface, and we really want to understand them,” Ashoori says. “Now we are able to get these pictures which have never been created before.”

Tunneling through

The team’s technique is called momentum and energy resolved tunneling spectroscopy, or MERTS, and is based on quantum mechanical tunneling, a process by which electrons can traverse energetic barriers by simply appearing on the other side — a phenomenon that never occurs in the macroscopic, classical world which we inhabit. However, at the quantum scale of individual atoms and electrons, bizarre effects such as tunneling can occasionally take place.

“It would be like you’re on a bike in a valley, and if you can’t pedal, you’d just roll back and forth. You would never get over the hill to the next valley,” Ashoori says. “But with quantum mechanics, maybe once out of every few thousand or million times, you would just appear on the other side. That doesn’t happen classically.”

Ashoori and his colleagues employed tunneling to probe a two-dimensional sheet of gallium arsenide. Instead of shining light to release electrons out of a material, as scientists do with ARPES, the team decided to use tunneling to send electrons in.

The team set up a two-dimensional electron system known as a quantum well. The system consists of two layers of gallium arsenide, separated by a thin barrier made from another material, aluminum gallium arsenide. Ordinarily in such a system, electrons in gallium arsenide are repelled by aluminum gallium arsenide, and would not go through the barrier layer.

“However, in quantum mechanics, every once in a while, an electron just pops through,” Jang says.

The researchers applied electrical pulses to eject electrons from the first layer of gallium arsenide and into the second layer. Each time a packet of electrons tunneled through the barrier, the team was able to measure a current using remote electrodes. They also tuned the electrons’ momentum and energy by applying a magnetic field perpendicular to the tunneling direction. They reasoned that those electrons that were able to tunnel through to the second layer of gallium arsenide did so because their momenta and energies coincided with those of electronic states in that layer. In other words, the momentum and energy of the electrons tunneling into gallium arsenide were the same as those of the electrons residing within the material.

By tuning electron pulses and recording those electrons that went through to the other side, the researchers were able to map the energy and momentum of electrons within the material. Despite existing in a solid and being surrounded by atoms, these electrons can sometimes behave just like free electrons, albeit with an “effective mass” that may be different than the free electron mass. This is the case for electrons in gallium arsenide, and the resulting distribution has the shape of a parabola. Measurement of this parabola gives a direct measure of the electron’s effective mass in the material.

Exotic, unseen phenomena

The researchers used their technique to visualize electron behavior in gallium arsenide under various conditions. In several experimental runs, they observed “kinks” in the resulting parabola, which they interpreted as vibrations within the material.

“Gallium and arsenic atoms like to vibrate at certain frequencies or energies in this material,” Ashoori says. “When we have electrons at around those energies, they can excite those vibrations. And we could see that for the first time, in the little kinks that appeared in the spectrum.”

They also ran the experiments under a second, perpendicular magnetic field and were able to observe changes in electron behavior at given field strengths.

“In a perpendicular field, the parabolas or energies become discrete jumps, as a magnetic field makes electrons go around in circles inside this sheet,” Ashoori says.

“This has never been seen before.”

The researchers also found that, under certain magnetic field strengths, the ordinary parabola resembled two stacked donuts.

“It was really a shock to us,” Ashoori says.

They realized that the abnormal distribution was a result of electrons interacting with vibrating ions within the material.

“In certain conditions, we found we can make electrons and ions interact so strongly, with the same energy, that they look like some sort of composite particles: a particle plus a vibration together,” Jang says.

Further elaborating, Ashoori explains that “it’s like a plane, traveling along at a certain speed, then hitting the sonic barrier. Now there’s this composite thing of the plane and the sonic boom. And we can see this sort of sonic boom — we’re hitting this vibrational frequency, and there’s some jolt happening there.”

The team hopes to use its technique to explore even more exotic, unseen phenomena below the material surface.

“Electrons are predicted to do funny things like cluster into little bubbles or stripes,” Ashoori says. “These are things we hope to see with our tunneling technique. And I think we have the power to do that.”

A team of Hokkaido University researchers has developed a novel material synthesis method called proton-driven ion introduction (PDII) which utilizes a phenomenon similar to “ion billiards.” The new method could pave the way for creating numerous new materials, thus drastically advancing materials sciences.

The synthesis method is based on a liquid-free process that allows for intercalation – insertion of guest ions into a host material – and ion substitution with those in the host material by driving ions with protons. This study, led by Assistant Professor Masaya Fujioka and Professor Junji Nishii at the university’s Research Institute for Electric Science, was published in the Journal of the American Chemical Society on November 16th.

Conventionally, intercalation and ion substitution have been conducted in an ion solution, but the process is regarded as cumbersome and problematic. In a liquid-based process, solvent molecules can be inserted into the host materials along with guest ions, degrading the crystal quality. It is also difficult to homogeneously introduce ions into host materials, and some host materials are not suitable when used with liquids.

In the PDII method, a high voltage of several kilovolts is applied to a needle-shaped anode placed in atmospheric hydrogen to generate protons via the electrolytic disassociation of hydrogen. The protons migrate along the electric field and are shot into the supply source of the desired ions – similar to balls in billiards – and the ions are driven out of the source to keep it electrically neutral. Ions forced out of the source are introduced, or intercalated, into a nanometer-level gap in the host material.

In this study, by using different materials as ion supply sources, the team succeeded in homogenously introducing lithium ions (Li+), sodium ions (Na+), potassium ions (K+), copper ions (Cu+) and silver ions (Ag+) into nanometer-level gaps in tantalum (IV) sulfide (TaS2), a layered material, while maintaining its crystallinity. Furthermore, the team successfully substituted Na+ of Na3V2(PO4)3 with K+, producing a thermodynamically metastable material, which cannot be obtained using the conventional solid-state reaction method.

“At present, we have shown that hydrogen ions (H+), Li+, Na+, K+, Cu+ and Ag+ can be used to introduce ions in our method, and we expect a larger variety of ions will be usable. By combining them with various host materials, our method could enable the production of numerous new materials,” says Masaya Fujioka. “In particular, if a method to introduce negatively charged ions and multivalent ions is established, it will spur the development of new functional materials in the solid ion battery and electronics fields.”

U.S. semiconductor chemical suppliers lost market share to Japanese and European competitors in every major segment over the past decade, according to the report entitled Chemicals and Materials for Sub-100 nm IC Manufacturing,” recently published by The Information Network (www.theinformationnet.com), a New Tripoli, PA-based market research company.

“Despite a shift in semiconductor manufacturing from the U.S. to Japan, to Korea, and then to China, the chemical supply chain is still dominated by U.S., Japanese, and European chemical companies,” noted Dr. Robert Castellano, president of The Information Network.

Within this supply chain, U.S. chemical manufacturers lost market share in every major chemical sector over the past decade, according to The Information Network’s report. Specific details for the top three suppliers in each of the sectors are listed in the table below:

chemicals

 

The first sector is one of the more interesting, because GlobalWafers, a Taiwanese company, acquired SunEdison in late 2016 making it the first company to break into the top three that wasn’t from headquartered in the U.S., Japan, or Europe,” added Dr. Castellano.

According to the report, the company held a 13.5% share in 2004 (when it was called MEMC) but it dropped to 10.1% in 2016 (when it was called SunEdison).

In each of the other sectors, the U.S. company dropped in market share. In the liquid chemicals sector, KMG Chemicals dropped from first place to third place, but gained market share because of its acquisition of OM Chemicals in 2014.

The temperature impact on the performance of UHP pressure transducers is discussed.

BY YANLI CHEN, Ph.D. and MATTHEW MILBURN, P.E., UCT, Hayward, CA

As the semiconductor industry develops new films that require heated delivery systems, all related components need to be characterized at elevated temperatures. Vacuum pressure measurement components, typically called manometers, have been used at elevated temperatures for many years. In fact, many of the vacuum measurement transducers are internally heated to a known temperature to stabilize the mechanical relationships between moving parts and the sensors used to measure the movement. This stabilization enables the precision and inaccuracy of the measurement to be greatly improved. For positive pressure UHP transducers, this elevated temperature characterization has not been done. Based on the testing performed at UCT, temperature related performance variations are very real and must be carefully considered before choosing a positive pressure transducer for elevated temperature use. Since the industry is driving toward higher delivery system operating temperatures, temperature effects will become more important.

The UHP pressure transducer is a widely-used component in the semiconductor industry and the performance is very important for process control and process monitoring. Selecting a proper UHP pressure transducer with good performance for the specific application is challenging, because different UHP pressure transducers manufacturers have different parameters listed in their data and specification sheets. Behind the data presented, it was found that different test procedures and data processing methods were used to determine and report performance characteristics. This reality creates a situation where, without standardized test method or reporting format, neither the specifier nor the end user can compare the performance of different brands of pressure transducers. To date, the industry has not recognized the full scope of the specification problem nor developed a standardized testing and reporting program. A new push toward standardization has become available with the publishing of SEMIF113 “Test Method For Pressure Transducers Used In Gas Delivery Systems” in November of 2016.

In order to have a better understanding about the performance of different UHP pressure transducer manufacturers’ products, UCT initialized a comprehensive performance evaluation project with a participation of three major UHP pressure transducer manufacturers (MFG A, MFG B and MFG C). The totality of the project covered a total of nine test categories, including warm up time test, input voltage sensitivity test, repeatability, linearity, hysteresis and inaccuracy test, reproducibility test, thermal coefficient test, drift test, accelerated lift cycle test, proof and burst test. The topic of this paper is the thermal coefficient test. Interested readers can find the other article “Comprehensive performance evaluation of UHP pressure transducers” published on the VOL. 59 NO. 4 of Solid State Technology (June 2016), which demonstrated the test method of repeatability, linearity, hysteresis and inaccuracy.

Ideally, a pressure transducer would sense pressure and remain unaffected by other environmental changes. In reality, however, the signal output of every pressure transducer is somewhat affected by variations in environment and fluid temperature. Temperature changes can cause the expansion and contraction of the sensor materials, fill fluids, housings, and electronics. Temperature changes also can affect the sensor’s resistors and electrical connections through the thermoelectric effects. Typically, a sensor’s behavior regarding changes in temperature is characterized by two temperature coefficients: temperature effect on zero (TC zero) and temperature effect on span (TC Span). TC zero is expressed as a percentage of full scale and indicates the greatest deviation of a pressure transducer at zero setpoint per equal temperature change (such as 10K or 50°C) during the operating temperature range. TC span is also expressed as a percentage of full scale and indicates the greatest deviation of a pressure transducer at 100%FS setpoint per equal temperature change (such as 10K or 50°C) during the operating temperature range. FIGURES 1, 2 and 3 list the TC zero and TC span of pressure transducer products of MFG A, MFG B and MFG C, respectively.

Screen Shot 2017-11-08 at 1.44.10 PM

Comparing the three thermal coefficient specifications above for MFG A, MFG B and MFC C, it is not possible to conclude which manufacturer’s product is the best for thermal behavior. Therefore, a standard test method and data process for thermal effects evaluation is needed.

Screen Shot 2017-11-08 at 1.44.20 PM

Test setup and procedure

Three major UHP pressure transducer manufacturer (MFG A, MFG B, and MFG C) participated in this comprehensive performance evaluation project by providing test samples. Table 1 shows the detailed information of all the devices under tests (DUTs). Twelve DUTs were installed in a test fixture designed by UCT for running simultaneous tests. The schematic of the test fixture is shown in FIGURE 4. The benefit of this design is to save significant time that would be otherwise used for assembly, disassembly, and testing, and eliminates the potential for setup errors if each transducer was tested separately in the battery of tests.

Screen Shot 2017-11-08 at 1.44.33 PM

The test was conducted in a temperature controlled environmental chamber (see Figure 5). The following sequence of steps were taken:

• A leak integrity test
• Make the initial zero adjustment per the manufacturer’s instructions
• Adjust the temperature of the environmental chamber to 0°C and allow the temperature to stabilize for a minimum period of two hours.
• Adjust the pressure to 0% FS (-14.7 psig), and record the signal output of all the DUTs and the pressure reference device after the pressure stabilization.
• Adjust the pressure to 100% FS(235.3 psig),andrecord the signal output of all the DUTs and the pressure reference device after the pressure stabilization.
• Repeat the same procedure for the temperature setpoints of 20°C, 40°C and 60°C at the pressure setpoints of 0%FS and 100%FS.

Screen Shot 2017-11-08 at 1.44.41 PM

Results and discussion

The TC zero (0%FS) and TC span (100%FS) values of all DUTs are listed in Table 2. For each manufacturer’s sample group, the highest value for the thermal coefficients at zero and span are highlighted in red; the lowest value for the thermal coefficients at zero and span are highlighted in green. To reiterate, the smaller the TC value, the better.

• For the DUTs from MFG A, the smallest TC zero is 0.0022%FS/°C and the smallest TC span is 0.0324%FS/°C.
• For the DUTs from MFG B, the smallest TC zero is 0.0012%FS/°C and the smallest TC span is 0.0099%FS/°C.
• For the DUTs from MFG C, the smallest TC zero is 0.0102%FS/°C and the smallest TC span is 0.0215%FS/°C.
• For the DUTs from MFG A, the largest TC zero is 0.0127%FS/°C and the largest TC span is 0.0564%FS/°C.
• For the DUTs from MFG B, the largest TC zero is 0.0042%FS/°C and the largest TC span is 0.0155%FS/°C.
• For the DUTs from MFG C, the largest TC zero is 0.0283%FS/°C and the largest TC span is 0.0354%FS/°C.

The extreme TC values for each manufacturer are summarized in Table 3. As shown in this table, the MFG B product has the lowest value (0.0042%FS/°C) and MFG C product has the highest value (0.0283%FS/°C) for the TC zero. For the TC span, the MFG B product still has the lowest value (0.0155%FS/°C), and the MFG A product has the highest value (0.0564%FS/°C).

Screen Shot 2017-11-08 at 1.44.49 PM

To compare the results to the published specification from MFG A, the results needed to be converted and are listed in Table 4.

Screen Shot 2017-11-08 at 1.44.59 PM

Comparing test results with the published specifications (FIGURE 1), the MFG A devices are meeting their thermal coefficient specification.

To compare the results to the published specification from MFG B, the results needed to be converted and are listed in Table 5.

Screen Shot 2017-11-08 at 1.45.08 PM

Compared with the published specifications (FIGURE 2), the MFG B devices are meeting their thermal coefficient specification at zero. All the MFG B devices except DUT 6 meet the of the thermal coefficient specification at span. However, the TC span for DUT 6 is 0.15550%FS/10K, which is very close to the specification value (0.15%FS/10K).

To compare the results to the published specification from MFG C, the results needed to be converted and are listed in Table 6.

Screen Shot 2017-11-08 at 1.45.15 PM

Compared to the published MFG C specifications (FIGURE 3), the MFG C devices are meeting their thermal coefficient specification.

The error change with the temperature increase of all the DUTs at 0%FS is shown graphically in FIGURE 6. Comparing the three plots, it can be seen that the DUTs from manufacturer C have the largest thermal variation across the temperature range of the test as well as device to device variation. The DUTs from manufacturer B have the smallest thermal variation across the temperature range of the test as well as device to device variation.

The error change with the temperature increase of all the DUTs at 100%FS is shown graphically in FIGURE 7. Comparing the three plots, it can be seen that the DUTs from manufacturer C have the largest thermal variation across the temperature range of the test as well as device to device variation. The DUTs from manufacturer B have the smallest fluctuation across the temperature range.

Conclusion

Based on this study, transducers marketed as comparable to each other display dramatically different performance levels within a relatively small temperature range which could lead to process reproducibility challenges. As the demand for higher temperature applications increases, these temperature performance variances will become more pronounced. These variations may prove to be very problematic with tool-to-tool process replication or when a transducer is replaced as a repair activity and the new transducer does not have the same performance characteristic as the old unit. The test results also demonstrate that the published specifications need to be standardized to improve direct comparison by end users. In addition, a uniform test procedure and data processing method needs to be adopted by the industry. The pressure measurement task force of SEMI North America Gases and Facilities Committee has developed and published a new pressure transducer measurement standard in November of 2016 based on this study.

Temperature-related shift not only contributes to the overall inaccuracy of a pressure transducer in a particular application, but they also factor into the economics of designing and manufacturing pressure transducers. This is due to the fact that temperature compensation is a complex, time-consuming, and expensive process that requires a significantly larger investment in production equipment and a deeper understanding of the influencing parameters.

References

1. Chemical Engineering Progress (CEP), June 2014 Gassmann, E. (2014, June) Pressure Sensor Fundamentals: Interpreting Accuracy and Error, 37-45
2. IEC 61298-3 Process measurement and control devices-General methods and procedures for evaluating performance-Part 3: Tests for the effects of influence quantities
3. SEMI C59-1104-0211R Specifications and Guidelines for Nitrogen
4. SEMI F1-0812 Specification for leak integrity of high-purity gas piping systems and components
5. SEMI F62-1111 Test method for determining mass flow controller performance characteristics from ambient and gas temperature effects
6. SEMI F113-1116 Test method for pressure transducers used in gas delivery systems

Worldwide silicon wafer area shipments increased during the third quarter 2017 when compared to second quarter 2017 area shipments according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry.

Total silicon wafer area shipments were 2,997 million square inches during the most recent quarter, a 0.7 percent increase from the record 2,978 million square inches shipped during the previous quarter. New quarterly total area shipments are 9.8 percent higher than third quarter 2016 shipments and continue to ship at their highest recorded quarterly level.

“Global silicon wafer shipment volumes surpassed record levels for the sixth quarter in a row, resulting in a new historical high,” said Chungwei (C.W.) Lee (李崇偉), chairman of SEMI SMG and spokesman, VP, Corporate Development and chief auditor of GlobalWafers (環球晶圓).  “While silicon demand is strong, silicon pricing remains well below pre-downturn levels.”

Silicon* Area Shipment Trends

Source: SEMI (www.semi.org), November 2017

Millions of Square Inches
2Q2016
3Q2016
4Q2016
1Q2017
2Q2017
3Q2017
Total
2,706
2,730
2,764
2,858
2,978
2,997

*Semiconductor applications only

Silicon wafers are the fundamental building material for semiconductors, which in turn, are vital components of virtually all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin round disks are produced in various diameters (from one inch to 12 inches) and serve as the substrate material on which most semiconductor devices or “chips” are fabricated.

All data cited in this release is inclusive of polished silicon wafers, including virgin test wafers and epitaxial silicon wafers, as well as non-polished silicon wafers shipped by the wafer manufacturers to the end-users.

 

The RC delay issues started a few nodes ago, and the problems are becoming worse.

BY ZSOLT TOKEI, imec, Leuven, Belgium

With the 7nm technology node in the development phase and the 5nm node moving into development, transistor scaling gets ever more complex. On top of that, the performance benefits gained at the front-end-of-line (i.e., the transistors) can easily be undone if the back-end-of-line can’t come along. BEOL processing involves the creation of stacked layers of Cu wires that electrically interconnect the transistors in the chip. Today, high-end logic chips easily have 12 to 15 levels of Cu wires. With each technology node, this Cu wiring scheme becomes more complex, mainly because there are more transistors to connect with an ever tighter pitch. Shrinking dimensions also means the wires have a reduced cross-sectional area, which drives up the resistance-capacitance product (RC) of the interconnect system. And this results in strongly increasing signal delay. The RC delay issues started a few nodes ago, and the problems are becoming worse. For example, a delay of more than 30% is expected when moving from the 10nm to the 7nm node.

The current BEOL flow

Cu-based dual damascene has been the workhorse process flow for interconnects since its introduction in the mid 1990s. A simple dual damascene flow starts with the deposition of a low-k dielectric material on a structure. These low-k films are designed to reduce the capacitance and the delay in the ICs. In a next step, this dielectric layer is covered with an oxide and a resist, and vias and trenches are formed using lithography and etch steps. These vias connect one metal layer with the layer above or below. Then, a metallic barrier layer is added to prevent Cu atoms from migrating into the low-k materials (FIGURE 1). The barrier layers are deposited with physical vapor deposition, using materials such as tantalum and tantalum nitride, and subsequently coated by a Cu seed barrier. In a final step, this structure is electroplated by Cu in a chemical mechanical polishing (CMP) step.

Screen Shot 2017-11-07 at 11.49.49 AM

A 5nm technology full dual damascene module

The semiconductor industry is hugely in favor of extending the current dual damascene technology as long as possible before moving to a new process. And this starts with incremental changes to the current technology, which should suffice for further scaling to at least the 5nm technology node. Researchers at imec have demonstrated a full dual damascene module for the 5nm technology node. At this node, the BEOL process becomes extremely complex, and interconnects are designed at very tight pitches. For example, a 50% area scaling in logic and 60% scaling of an SRAM cell from 7nm to 5nm results in a gate pitch at around 42nm and an intermediate first routing metal at 32nm pitch (or 16nm half pitch, which is half the distance between identical features). In these BEOL layers, trenches are created which are then filled with metal in a final metallization step. In order to create electrically functional lines, perpendicular block layers to the trenches are added, where metal traces are not formed. One of the many challenges to scaling the interconnects relates to the patterning options. Patterning these tight pitch layers is no longer possible by using single immersion lithography and direct etch steps. Only multi-patterning – which is known to be very costly and complex – is possible either by immersion or by EUV or by a combination of immersion and EUV exposures to form a single metal layer. At IITC, imec showed a full integration flow using multi-patterning, which enables the patterning of tight-pitch metal-cut (the blocks), and effectively scaling the trench critical dimension to 12nm at 16nm half pitch. The researchers also looked at the reliability, for example at electromigration issues caused by the movement of atoms in the interconnect wires. They demonstrated the ability of imec’s Cu metallization scheme at 16nm critical dimension with extendibility to 12nm width, and investigated full ruthenium (Ru) metallization as copper replacement.

Scaling the BEOL beyond the 5nm node

For the technology nodes below the 5nm, the team of imec is investigating a plethora of options and comparing their merits. Options include new materials for conductors and dielectrics, barrier layers, vias, and new ways to deposit them; innovative BEOL architectures for making 2.5D/3D structures; new patterning schemes; co-optimization of system and technology, etc.

For example, to achieve manufacturable processes and at the same time control the RC delay, scaling boosters, such as fully self-aligned vias, are increasingly being used. Via alignment is a critical step in the BEOL process, as it defines the contact area between subsequent interconnect levels. Any misalignment impacts both resistance and reliability. Imec’s team has shown the necessity of using a fully self-aligned via to achieve overlay specifications, and proposed a process flow for 12nm half pitch structures.

Also, self-assembled monolayers (SAMs) open routes to new dielectric and conductor schemes. SAMs composed of sub-1nm organic chains and terminated with desired functional groups can help engineering thin-film dielectric and metal interfaces, and can strongly inhibit interfacial diffusion. The use of SAMs has been a topic of research for the past ten years. Imec has now moved this promising concept from lab to fab, and combined SAMs with a barrier/liner/metallization scheme on a full wafer. The researchers investigated the implica- tions on the performance and scaling ability of this process flow, and demonstrated a ~18% reduction in the RC of 22nm half-pitch dual damascene intercon- nects, due to a better interface and thinner barrier.

For conventional BEOL metallization, a barrier layer is coated by a Cu seed barrier, and this structure is electroplated with low-resistive Cu, which acts as the conductor. But when moving to sub-10nm interconnects, the resistivity of Cu continues to increase. At the same time, the diffusion barrier – which is highly resistive and difficult to scale – is taking up more space, thereby increasing the overall resistance of the barrier/Cu structure. Therefore, alternative metals are being investigated that could possibly serve as a replacement for Cu and do not require a diffusion barrier. Among the potential candidates, such as Co, Ni, Mo, etc., platinum-group metals, especially ruthenium (Ru), have shown great promise due to their low bulk resistivity and resistance to oxidation. They also have a high melting point which can result in better electromigration behavior (FIGURE 2). Imec has realized Ru nanowires with 58nm2 cross section area. The nanowires exhibit low resistivity and robust wafer-level reliability. For example, a very high current carrying capacity with fusing currents as high as 720MA/cm2 was demonstrated.

Screen Shot 2017-11-07 at 11.50.00 AM

At the 2017 IITC conference, this author was invited to take part in a panel discussion, organized by Applied Materials, to discuss the latest developments in metallization at single-digit nodes, the challenges and bottlenecks arising at these very small dimensions, and new application-driven requirements. Distinguished speakers from the technical field reviewed viable solutions for extending the current technology and alternative options were discussed. From the discussion it is clear that the biggest immediate benefit can be found in the area of conductors – both from the material side as well as design. Indeed, it is driving the replacement of copper at specific metallization levels. Other avenues – such as dielectric innovations, functionality in the BEOL or 2D materials – remain interesting options for the R&D pipeline.

As an option that is further out, spin wave propagation in conductors is an alternative signaling to traditional electron based propagation.

Adding additional functionality in the BEOL

In the future, more and more technology options may get dictated by the requirements of systems or even applications. This could result in a separate technology for e.g. high-performance computing, low-power mobile communication, chips for use in medical applications, or dedicated chips for IoT sensors. Along the same lines, imec is investigating the benefits of introducing additional functionality in the BEOL.

More specifically, imec is evaluating the possibility of integrating thin-film organic transistors – with typically low-leakage level – into the BEOL interconnect circuitry of Si FinFETs. The potential advantages of fabricating them together are mainly a reduced power consumption and improved area saving. A variety of circuits can fully utilize the benefits of this hybrid processing, including portable applications, eDRAM, displays and FPGA applications. As a concrete example, imec researchers are currently merging imec’s expertise in BEOL technologies and in thin-film-based flat panel displays, thereby opening opportunities for new applications…

A mineral discovered in Russia in the 1830s known as a perovskite holds a key to the next step in ultra-high-speed communications and computing.

Researchers from the University of Utah’s departments of electrical and computer engineering and physics and astronomy have discovered that a special kind of perovskite, a combination of an organic and inorganic compound that has the same structure as the original mineral, can be layered on a silicon wafer to create a vital component for the communications system of the future. That system would use the terahertz spectrum, the next generation of communications bandwidth that uses light instead of electricity to shuttle data, allowing cellphone and internet users to transfer information a thousand times faster than today.

The new research, led by University of Utah electrical and computer engineering professor Ajay Nahata and physics and astronomy Distinguished Professor Valy Vardeny, was published Monday, Nov. 6 in the latest edition of Nature Communications.

The terahertz range is a band between infrared light and radio waves and utilizes frequencies that cover the range from 100 gigahertz to 10,000 gigahertz (a typical cellphone operates at just 2.4 gigahertz). Scientists are studying how to use these light frequencies to transmit data because of its tremendous potential for boosting the speeds of devices such as internet modems or cell phones.

Nahata and Vardeny uncovered an important piece of that puzzle: By depositing a special form of multilayer perovskite onto a silicon wafer, they can modulate terahertz waves passing through it using a simple halogen lamp. Modulating the amplitude of terahertz radiation is important because it is how data in such a communications system would be transmitted.

Previous attempts to do this have usually required the use of an expensive, high-power laser. What makes this demonstration different is that it is not only the lamp power that allows for this modulation but also the specific color of the light. Consequently, they can put different perovskites on the same silicon substrate, where each region could be controlled by different colors from the lamp. This is not easily possible when using conventional semiconductors like silicon.

“Think of it as the difference between something that is binary versus something that has 10 steps,” Nahata explains about what this new structure can do. “Silicon responds only to the power in the optical beam but not to the color. It gives you more capabilities to actually do something, say for information processing or whatever the case may be.”

Not only does this open the door to turning terahertz technologies into a reality — resulting in next-generation communications systems and computing that is a thousand times faster — but the process of layering perovskites on silicon is simple and inexpensive by using a method called “spin casting,” in which the material is deposited on the silicon wafer by spinning the wafer and allowing centrifugal force to spread the perovskite evenly.

Vardeny says what’s unique about the type of perovskite they are using is that it is both an inorganic material like rock but also organic like a plastic, making it easy to deposit on silicon while also having the optical properties necessary to make this process possible.

“It’s a mismatch,” he said. “What we call a ‘hybrid.'”

Nahata says it’s probably at least another 10 years before terahertz technology for communications and computing is used in commercial products, but this new research is a significant milestone to getting there.

“This basic capability is an important step towards getting a full-fledged communications system,” Nahata says. “If you want to go from what you’re doing today using a modem and standard wireless communications, and then go to a thousand times faster, you’re going to have to change the technology dramatically.”

Researchers at UC Berkeley and UC Riverside have developed a new, ultrafast method for electrically controlling magnetism in certain metals, a breakthrough that could lead to greatly increased performance and more energy-efficient computer memory and processing technologies.

In this schematic of a magnetic memory array, an ultrafast electrical pulse switches a magnetic memory bit.

In this schematic of a magnetic memory array, an ultrafast electrical pulse switches a magnetic memory bit.

The findings of the group, led by Berkeley electrical engineering and computer sciences (EECS) professor Jeffrey Bokor, are published in a pair of articles in the journals Science Advances (Vol. 3, No. 49, Nov. 3, 2017) and Applied Physics Letters (Vol. III, No. 4, July 24, 2017).

Computers use different kinds of memory technologies to store data. Long-term memory, typically a hard disk or flash drive, needs to be dense in order to store as much data as possible. But the central processing unit (CPU) — the hardware that enables computers to compute — requires its own memory for short-term storage of information while operations are executed. Random Access Memory (RAM) is one example of such short-term memory.

Reading and writing data to RAM needs to be extremely fast in order to keep up with the CPU’s calculations. Most current RAM technologies are based on charge (electron) retention, and can be written at rates of billions of bits per second (or bits/nanosecond). The downside of these charge-based technologies is that they are volatile, requiring constant power or else they will lose the data.

In recent years, magnetic alternatives to RAM, known as Magnetic Random Access Memory (MRAM), have reached the market. The advantage of magnets is that they retain information even when memory and CPU are powered off, allowing for energy savings. But that efficiency comes at the expense of speed. A major challenge for MRAM has been to speed up the writing of a single bit of information to less than 10 nanoseconds.

“The development of a non-volatile memory that is as fast as charge-based random-access memories could dramatically improve performance and energy efficiency of computing devices,” says Bokor. “That motivated us to look for new ways to control magnetism in materials at much higher speeds than in today’s MRAM.”

“Inspired by recent experiments in the Netherlands on ultrafast magnetic switching using sub-picosecond duration laser pulses, we built special circuits to study how magnetic metals respond to electrical pulses as short as a few trillionths of a second,” or picoseconds, says coauthor Yang Yang (M.S.’13 Ph.D.’17 MSE). “We found that in a magnetic alloy made up of gadolinium and iron, these fast electrical pulses can switch the direction of the magnetism in less than 10 picoseconds. That is orders of magnitude faster than any other MRAM technology.”

“The electrical pulse temporarily increases the energy of the iron atom’s electrons,” says Richard Wilson, currently an assistant professor of mechanical engineering at UC Riverside who began his work on this project as a postdoctoral researcher in EECS at Berkeley.  “This increase in energy causes the magnetism in the each of the iron and gadolinium atoms to exert torque on one another, and eventually leads to a reorientation of the metal’s magnetic poles. It’s a completely new way of using electrical currents to control magnets.”

After their initial demonstration of electrical writing in the special gadolinium-iron alloy, the research team sought ways to expand their method to a broader class of magnetic materials.  “The special magnetic properties of the gadolinium-iron alloy are what makes this work,” says Charles-Henri Lambert, a Berkeley EECS postdoc. “Therefore, finding a way to expand our approach for fast electrical writing to a broader class of magnetic materials was an exciting challenge.”

Addressing that latter challenge was the subject of a second study, published in Applied Physics Letters in July.  “We found that when we stack a single-element magnetic metal such as cobalt on top of the gadolinium-iron alloy, the interaction between the two layers allows us to then manipulate the magnetism of the cobalt on unprecedented time-scales as well,” says Jon Gorchon, a postdoctoral research in the Materials Sciences Division at Lawrence Berkeley Lab and in EECS at UC Berkeley.

“Together, these two discoveries provide a route toward ultrafast magnetic memories that enable  a new generation of high-performance, low power computing processors with high-speed, non-volatile memories right on chip, ” Bokor says.

Additional team members include Akshay Pattabi, a Berkeley EECS Ph.D. candidate, and Berkeley EECS professor Sayeef Salahuddin. The research was supported by grants from the National Science Foundation and the U.S. Department of Energy.

Eighty years after the theoretical prediction of the force required to overcome the van der Waals’ bonding between layers in a crystal, engineering researchers at Tohoku University have measured it directly. They report their results this week in the Journal of Applied Physics, from AIP Publishing.

In its proof-of-concept, the team also created more durable gallium selenide crystals. The accomplishment could advance the development of terahertz and spintronics technologies, used in a range of applications from medical imaging to quantum computers.

“This is the first time anyone has directly measured the van der Waals bonding force in the layers of a crystal,” Tadao Tanabe, one of the authors, said. “Even high school students know of this force, but in crystals it was very difficult to measure directly.”

Though considered promising for many technologies, the use of gallium selenide crystals has been hampered by the fact that they’re notoriously fragile. To make them stronger, Tanabe’s team, including Department of Materials Science colleague Yutaka Oyama, imagined growing crystals with small amounts of the selenium replaced with the rare element tellurium.

The researchers surmised that tellurium’s larger electron cloud would produce greater van der Waals’ forces between the crystal layers, strengthening the overall structure. Van der Waals’ are weak electric forces that attract atoms to one another through subtle shifts in the atom’s electron configurations.

The team grew and compared three different types of crystals: one pure gallium selenide, one with 0.6 percent tellurium and one with 10.6 percent tellurium. To test the effect on the tellurium on interlayer bonding, the team invented the equivalent of a crystal sandwich opener. Their system is able to measure with exquisite detail the tensile strength, the force required to pull the crystal until it breaks.

“The tensile testing system is very simple in some ways,” Tanabe said. “But it was very difficult to develop a way to identify the exact point at which the crystal broke.”

The crystals tested were about 3 millimeters in width, and only 1/5 of a millimeter thick, about half the thickness of a piece of standard printer paper. Each crystal is comprised of hundreds of individual layers.

The team used special double-sided tape on either side of a crystal to hold it between an anchored stage and a moveable one that could be pulled away slowly, at a rate of 50 millionths of a meter per second. “This enabled us to very precisely measure the interlayer force at which the crystal broke,” Tanabe said.

The researchers found that the interlayer van der Waals bonding in the tellurium-doped crystals was seven times stronger than in pure gallium selenide ones.

With the addition of tellurium, the soft and cleavable gallium selenide crystal becomes rigid by enhancement of the van der Waals’ bonding force, the authors report, paving the way for using this system to improve crystal-based technologies.