Category Archives: Process Materials

In a recent work published in Nature Communications, the research group led by ICREA Professor at ICFO Frank Koppens demonstrate a novel way to detect low-energy photons using vertical heterostructures made by stacking graphene and other 2D semiconducting materials. By studying the photoresponse of these atomically thin sandwiches, the researchers have shown that it is possible to generate a current by heating electrons in graphene with infrared light and extracting the hottest electrons over a vertical energy barrier.

This ingenious mechanism, named photo-thermionic effect, takes advantage of the unique optical properties of graphene such as its broadband absorption, ultrafast response and gate-tunability. Moreover, owing to their vertical geometry, devices relying on this effect make use of the entire surface of graphene and can be potentially scaled up and integrated with flexible or rigid platforms.

More generally, this study reveals once again the amazing properties of these man-made heterostructures. According to Prof. Frank Koppens “this is just the tip of the iceberg, these 2D sandwiches still have a lot to reveal”. ICFO researcher Mathieu Massicotte, first author of this study, emphasizes the new possibilities opened up by these new materials: “Everyone knows it is possible to detect light with graphene using in-plane geometries, but what about the out-of-plane direction? To answer, you need to think outside the 2D box!”

The results obtained from this study have shown that heterostructures made of 2D materials and graphene can be used to detect low-energy photons which could lead to new, fast and efficient optoelectronic applications, such as high-speed integrated communication systems and infrared energy harvesting. In addition, it demonstrates the compatibility of 2D materials with the digital chips currently utilized in cameras, paving the way for low cost infrared spectrometers and imaging systems.

By Ed Korczynski, Sr. Technical Editor

New Materials Need New Handling Approaches photo

Wenge Yang, Vice President of Corporate Marketing, Entegris

Wenge Yang is vice president of corporate marketing for Entegris, and before joining the company in 2012 he earned a Ph.D. in Materials Engineering and served in various executive roles at Advanced Micro Devices, Tokyo Electron, and two startup companies, so he has a uniquely valuable perspective on materials trends in IC fabs. Yang spoke with the Show Daily about major trends in High Volume Manufacturing (HVM), and about the topics that will be discussed in the Entegris Yield Breakfast Forum “Yield Enhancement Challenges in Today’s Memory IC Production” happening Thursday morning, July 14.

 

3D-NAND

On the memory side the biggest challenge is that investment into different memory technologies has slowed innovation in DRAM. “People will hold the R&D money away from DRAM to try to find a DRAM-killer. So most of the innovation in memory is in 3D-NAND, and obviously Samsung is leading the industry with moves to build two new production lines to try to dominate the market.”

One of the known difficulties in 3D-NAND HVM is the etching and filling of contacts to the side “staircase” structure. Today the material used for contact fill is tungsten (W), while standard WF6 gas precursor shows some limits in ability to fill these contacts and in reliability. Going to more layers generally means deeper holes to fill, so fabs are exploring new fluoride-free-tungsten using chloride chemistry precursors which promise better process results.

EUVL

EUV lithography has been debated for many years,” reminded Yang. “Finally, it has been developed to the point that it will be used in 2018 for pilot and in 2020 for production. Logic fabs will use it for 7nm-node processing, while in foundry fabs the 5nm-node will be the insertion point.”

Inpria and many of the legacy photoresist suppliers are developing new metal-core photoresist chemistry for improved sensitivity and Line-Width Roughness (LWR) in EUVL. Yang explains that new handling technologies will be needed for such photoresists, “A new requirement in purification is needed, while the filtration requirement for particles remains. This comes along with what we call ‘metal-phobia’ at the leading edge. In the past part-per-trillion levels were not issues, while today the whole delivery path becomes an issue and customers now ask about the materials of construction of all fluid-path components to ensure that no contaminants leach out into chemistry.”

Purity uncertainties

At the leading edge, a lot of focus is on gas purity requirements of new metal-organic precursors needed for ALD/CVD. “In reality, if we talk to IDMs they say that they honestly don’t know what is the right spec. Maybe part-per-trillion is too much, but they will say that they do not want to leave risk in the process,” confided Yang. “There are cases where a customer sees something happen and they can trace the problem back to a metal contamination level in a precursor. Obviously we know that less metal should be better, but we generally lack the ability to know exactly so the spec tends to stay at the prior node level.”

“In terms of the business dynamics, it is a challenge for us to create new products that meet the evolving needs of our leading customers,” explained Yang. “However the greater challenge is the serious overhead investment needed for more on-site customer support and more analytical lab tests. Supporting today’s customers is painful today, so smaller companies may find it too difficult and expensive to stay in the market.”

On Thursday morning of SEMICON West in the Yerba Buena level of the Marriott Marquis hotel, Entegris will host the 7th annual Yield Breakfast Forum. Micron will talk about XPoint manufacturing technology it has co-developed with Intel. XMC will talk about the dynamic of China developing it’s own materials supply-chain.

By Shannon Davis, Web Editor

“There’s never been a better time to connect” was the theme of John Kern’s keynote address at SEMICON West 2016 Tuesday morning, though it was clear from his speech that connecting – or digitizing – supply chains is not just a good idea, but imperative in the current ever-changing climate of the electronics supply chain.

John Kern, Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

John Kern, Senior Vice President of Supply Chains, Cisco Systems, speaking at SEMICON West 2016 on Tuesday morning. (Source: SEMI)

“If you’re not investing in digitization today, it’s going to be very, very difficult for you to remain relevant over the next decade,” Kern urged his audience.

Kern, who is Senior Vice President of Supply Chains at Cisco Systems, came equipped with several compelling case studies from his team’s own experiments, to make the case for why connecting the supply chain is so vital to innovation and profitability.

The first case study that Kern presented showed Cisco’s results from monitoring energy and energy costs in a factory setting. His team deployed a network of thousands of sensors that monitored energy readings of every piece of equipment in one of Cisco’s Malaysian factories, so teams could gather data and analytics on each piece’s performance. This initiative allowed the factory team to make changes in equipment to optimize performance, which resulted in a 12% energy reduction and a 1 million USD cost savings, which amounted to a full return on investment achieved in less than 10 months.

Kern also envisions a path to tens of millions of dollars in capital savings each year with adaptive testing, an initiative that’s currently saving Cisco test engineers man hours and allowing them to return to high value work. Kern said that Cisco was able to leverage analytics capabilities of a software they owned called Auto Test, along with Cisco’s own 10-15 years of test information, to build a test system that is now capable of machine-to-machine learning.

“The tests are becoming adaptive; they’re changing themselves,” said Kern, “and they’re notifying the engineers when they’re making a change.”

In addition to the cost and time savings, Kern believes this also allows for engineers to develop higher quality products.

And these products are also reaching the market faster, thanks to a Cloud-based supplier collaboration platform Cisco is using, that is allowing all of their suppliers to see real-time changes in demand and real-time changes in supply response, eliminating the bull-whip effect in the supply chain.

“We’ve also seen substantial improvement in product lead time,” Kern said. “We’re able to solve issues [with our suppliers] in a much faster way.”

Ultimately, this is where Kern says Cisco and its supply chain is headed: to what he calls supply chain orchestration.

“We’re trying to move this from a big IT project to having literally hundreds of people in our supply chain that are equipped to change the nature of their work every day,” he said. “If they understand the technology, they’re empowered to change the nature of their work.”

“This is the path for breakthrough productivity,” he concluded. “If you’re not investing heavily in these concepts today, it will be hard for you to stay relevant in the next decade.”

Nano-electronics research center imec and Synopsys, Inc. (NASDAQ: SNPS) today announced an interconnect resistivity model to support the screening and selection of alternative interconnect metals and liner-barrier materials at the 7nm node and beyond. With the continued scaling of advanced process nodes, the impact of parasitic interconnect resistance on the switching delay of standard cells rises considerably. The new model developed through this collaboration enables the evaluation of interconnect material and process options through simulations in the early stages of technology development, when wafer data is not available, and in the process optimization and integration stages of technology development, where it reduces expensive and time-consuming wafer-based iterations.

“We have already released to our partners a number of sets of model parameters related to various liner/barrier systems for Cu metallization or to alternative metals, such as Ru and Co, which they will use to screen metallization options for next-generation interconnect technologies,” stated Dan Mocuta, director, Logic Device and Integration at imec.

To use the new resistivity model, customers simulate the fabrication of the interconnect structure in 3D using the Synopsys process emulation tool Process Explorer, and then simulate the wire and via resistance in Raphael, the Synopsys gold standard interconnect field solver. This simulation flow accounts for the impact of layout rules, multi-patterning flows, and process-induced 3D features on the resistance of any conductive net in a multilayer interconnect stack, thereby predicting the influence of material, process and patterning choices on the interconnect resistance at scaled dimensions.

Imec has calibrated the resistivity model to wafer data for Cu, W, Ru and Co interconnects.

“The new resistivity model developed through this collaboration with imec is an important component of our pre-wafer simulation solution to enable our mutual customers to perform early screening of interconnect technology options at advanced nodes,” said Dr. Howard Ko, senior vice president and general manager of the Silicon Engineering Group at Synopsys.

Imec’s research into advanced logic scaling is performed in cooperation with imec’s key partners in its core CMOS programs including GlobalFoundries, Intel, Micron, SK Hynix, Samsung, TSMC, Huawei, Qualcomm and Sony.

imec synopsys 1 imec synopsys 2

3D model of a multilayer interconnect stack (a) after process emulations using the Synopsys Sentaurus™ Process Explorer and 3D local resistivity profile (b) within wires and vias

3D-Micromac AG, a supplier of laser micromachining and roll-to-roll laser systems for the photovoltaic, medical device and electronics markets, announced that its microDICE laser micromachining system has been adopted by a major industrial manufacturer for volume production of high-power diodes. Leveraging 3D-Micromac’s proprietary TLS-Dicing technology, the microDICE system provides fast, clean and cost-effective dicing of wafers used for advanced semiconductors and power device applications. Its unique approach uses thermally induced mechanical stress to separate brittle semiconductor materials such as silicon, silicon carbide (SiC), germanium (Ge) and gallium arsenide (GaAs).

3d micromac

The microDICE laser micromachining system from 3D-Micromac supports volume production of high-power diodes.

TLS-Dicing is a contact- and residue-free process that provides significantly higher throughput, higher yields and greater functionality compared to traditional die-separation technologies. For example, throughput is up to 30X greater compared to saw dicing. The technology also provides lower cost of ownership than other approaches. A forceless and contactless machining process, TLS-Dicing eliminates tool wear and requires no expensive consumables for surface cleaning–resulting in cost savings of up to an order of magnitude or more.

“While significant time and resources are invested in the front-end of semiconductor manufacturing to produce a completed product wafer, back-end wafer processing has historically been viewed as a necessary evil,” stated Tino Petsch, CEO of 3D-Micromac. “That’s all changed with the adoption of new types of wafer substrates, thinner wafers and scaling to smaller dimensions, larger-size substrates, and new packaging technologies like 3D-stacking. Back-end process steps such as wafer dicing are evolving as critical value-add process steps that not only ensure, but also further enhance, device yields. Using our TLS-Dicing technology, the microDICE system provides superior wafer dicing performance over other approaches while considerably reducing the dicing cost per wafer. Our technology has been proven in the photovoltaic and other industrial markets, and we are pleased to bring the benefits of it to the semiconductor and power device manufacturing industry.”

3D-Micromac also announced today that it is expanding its global infrastructure with the opening of its new 3D-Micromac America headquarters in the heart of Silicon Valley, in San Jose, Calif. Serving as both an applications lab and sales and support facility, the office marks the company’s first major presence in North America and will enable 3D-Micromac to better meet rising customer demand for its laser micromachining products across all of its served markets, including solar, semiconductor, MEMS, display and smart glass.

According to Daniel Weber, sales and business development manager for 3D-Micromac America, “With our new regional headquarters and applications lab, 3D-Micromac can offer our North American-based customers a first-class network of sales and support services for our laser micromachining systems. Providing customer evaluations, applications development, and small-scale contract manufacturing is a unique offering among wafer dicing technology suppliers. We look forward to delivering all of these capabilities to our existing, new and potential customers.”

Graphene has emerged as one of the most promising two-dimensional crystals, but the future of electronics may include two other nanomaterials, according to a new study by researchers at the University of California, Riverside and the University of Georgia.

In research published Monday (July 4) in the journal Nature Nanotechnology, the researchers described the integration of three very different two-dimensional (2D) materials to yield a simple, compact, and fast voltage-controlled oscillator (VCO) device. A VCO is an electronic oscillator whose oscillation frequency is controlled by a voltage input.

Titled “An integrated Tantalum Sulfide–Boron Nitride–Graphene Oscillator: A Charge-Density-Wave Device Operating at Room Temperature,” the paper describes the development of the first useful device that exploits the potential of charge-density waves to modulate an electrical current through a 2D material. The new technology could become an ultralow power alternative to conventional silicon-based devices, which are used in thousands of applications from computers to clocks to radios. The thin, flexible nature of the device would make it ideal for use in wearable technologies.

Graphene, a single layer of carbon atoms that exhibits exceptional electrical and thermal conductivities, shows promise as a successor to silicon-based transistors. However, its application has been limited by its inability to function as a semiconductor, which is critical for the ‘on-off’ switching operations performed by electronic components.

To overcome this shortfall, the researchers turned to another 2D nanomaterial, Tantalum Sulfide (TaS2). They showed that voltage-induced changes in the atomic structure of the ‘1T prototype’ of TaS2 enable it to function as an electrical switch at room temperature–a requirement for practical applications.

“There are many charge-density wave materials that have interesting electrical switching properties. However, most of them reveal these properties at very low temperature only. The particular polytype of TaS2 that we used can have abrupt changes in resistance above room temperature. That made a crucial difference,” said Alexander Balandin, UC presidential chair professor of electrical and computer engineering in UCR’s Bourns College of Engineering, who led the research team.

To protect the TaS2 from environmental damage, the researchers coated it with another 2D material, hexagonal boron nitrate, to prevent oxidation. By pairing the boron nitride-capped TaS2 with graphene, the team constructed a three-layer VCO that could pave the way for post-silicon electronics. In the proposed design, graphene functions as an integrated tunable load resistor, which enables precise voltage control of the current and VCO frequency. The prototype UCR devices operated at MHz frequency used in radios, and the extremely fast physical processes that define the device functionality allow for the operation frequency to increase all the way to THz.

Balandin said the integrated system is the first example of a functional voltage-controlled oscillator device comprising 2D materials that operates at room temperature.

“It is difficult to compete with silicon, which has been used and improved for the past 50 years. However, we believe our device shows a unique integration of three very different 2D materials, which utilizes the intrinsic properties of each of these materials. The device can potentially become a low-power alternative to conventional silicon technologies in many different applications,” Balandin said.

The electronic function of graphene envisioned in the proposed 2D device overcomes the problem associated with the absence of the energy band gap, which so far prevented graphene’s use as the transistor channel material. The extremely high thermal conductivity of graphene comes as an additional benefit in the device structure, by facilitating heat removal. The unique heat conduction properties of graphene were experimentally discovered and theoretically explained in 2008 by Balandin’s group at UCR. The Materials Research Society recognized this groundbreaking achievement by awarding Balandin the MRS Medal in 2013.

The Balandin group also demonstrated the first integrated graphene heat spreaders for high-power transistors and light-emitting diodes. “In those applications, graphene was used exclusively as heat conducting material. Its thermal conductivity was the main property. In the present device, we utilize both electrical and thermal conductivity of graphene,” Balandin added.

The 2015 market for electronic gases totaled $3.65B, up 4.3% over the prior year, according to a new report from Techcet Group, “Critical Materials Report: Electronic Gases 2016.” The 2016 outlook is for 6.8% growth overall, with the electronic specialty gases segment leading the way with 8.9% growth to $2.53B and bulk gases increasing 4.3% to $1.37B. Single digit growth is expected to continue to be the norm, with looming shortages in neon and helium threatening to retard the pace.

In bulk gases, Air Liquide increased its share by 3% and now dominates the market at 31% share. In specialty gases, market shares underwent major shifts, including former leader Air Products slipping in position behind Air Liquide. Air Liquide has taken the lead position at 27%, with Air Products dropping to 17% after repositioning themselves as an independent entity, now recognized as Versum. Praxair, Linde and TNSC-Matheson, followed by SK Materials (formerly OCI) continue to round out the other global share leaders.

Concerns about the availability of Neon continue to plague the industry. Over 70% of the global supply of neon is sourced from Iceblick in Odessa, Ukraine, where the political unrest has resulted in a 60% reduction in output in 2015. New capacity is being installed in Texas, Indiana, Ukraine, China and Dubai, but takes two years to come online. The shortfall has sent DUV laser manufacturers scrambling to develop strategies for neon use reduction, but these are not yet considered to be adequate. Meanwhile, the shortage has contributed to the further delay of EUV implementation from 2016-17 to 2020.

The scramble for new commercial sources for helium is being driven by the decision of the US Bureau of Land Management to stop supplying to the merchant market by 2021. This represents 30-40% of the US supply and 15-20% of the global supply. A new source or He has just been discovered in Tanza- nia, but any extraction and purification plant will take 2+ years to come on line. While helium supply is not an immediate concern, pricing has continued inching upward. By 2021, new sources/expansions will need be in full production in order to compensate for the BLM exiting the commercial market. See TECHCET’s Gases Report for actual timelines and details.

TECHCET’s 2016 Gases Report and 2016 Neon Report provide strategic information to ensure business continuity and support category management of the specialty and bulk gas markets and their sup- ply chains. Included are supplier issues, raw material concerns and supplier profiles. Current issues sur- rounding helium, neon, nitrogen trifluoride, tungsten hexafluoride, krypton, xenon, and several more are provided in the Gases Report. High demand applications and forecast on supply vs demand are highlighted in the Neon Report. Global supply chain issues and regulatory changes that impact gases are also discussed in this year’s reports.

TECHCET CA LLC is an advisory service firm focused on Process Materials Supply Chains, Electronic Materials Technology, and Materials Market Analysis for the Semiconductor, Display, Solar/PV, and LED Industries. The Company has been responsible for producing the SEMATECH Critical Material Reports since 2000. For additional information about these reports or about CMC Fabs membership please contact Lita Shon-Roy or Jerry Yang at [email protected] +1-480-332-8336, or visit our websites at www.techcet.com and www.cmcfabs.org.

Other reports released this quarter include:

  •   ALD & High-κ Metal Precursors
  •   Silicon Wafers
  •   Photoresist
  •   Sputter Targets

For additional information about these reports, contact Lita Shon-Roy, [email protected], +1- 480-336-2160, or visit our website at www.techcet.com.

Physicists from the Technological Institute for Superhard and Novel Carbon Materials, the Moscow Institute of Physics and Technology, and the Siberian Federal University have mathematically modelled diamond-based microstructures for producing compact high sensitivity sensors.

The researchers’ study investigates the problem of selecting a useful acoustic signal taking into account the excitation of Lamb waves in promising microwave microresonators with substrates of synthetic diamonds. The scientists proposed a mathematical model and experimentally studied acoustic waves in the piezoelectric layered structure, described their dispersion and proposed a number of ways of decreasing the effects of spurious peaks. In the future, diamond crystal based structures may be able to be used as high sensitivity sensors to detect pressure, acceleration, temperature, the thickness of ultrathin films etc. The paper has been published in Applied Physics Letters.

“I think that the results we have obtained from a piezoelectric layered structure based on synthetic diamonds are ahead of world-class research in this field. Our microresonators were used to obtain resonances at record high microwave frequencies in a range of up to 20 GHz, with the quality factor remaining at several thousand. The behaviour of diamond as a substrate for the acoustic microresonator was very significant and I hope that using diamonds in acoustics and electronics will lead to more exciting discoveries,” said the corresponding author of the study, Boris Sorokin, in an interview with MIPT’s Communications Office.

The quality factor is a feature of an oscillating system. It describes how quickly oscillations die down in a system; the higher the quality factor, the smaller the energy loss.

A piezoelectric layered structure is a “sandwich” of various different materials with a piezoelectric effect. This term means that under compression or tension an electric field occurs around the material – and when an electrical voltage is applied, the material itself changes shape. Non-scientists will have seen the piezoelectric effect in lighters (pressing the button compresses the piezoelectric, which provides enough voltage for a spark). However, aside from lighters, the effect is used in microphones, precise micromanipulators, and many kinds of sensors for pressure, humidity, temperature etc. Another very important application of piezoelectrics is in highly stable piezoelectric resonators, which enable quartz clocks to display time accurately, for example, or computers to run programs smoothly.

The effect of an electric field on a piezoelectric, in this case a thin film of aluminium nitride AlN, leads to deformation and causes elastic waves which pass to the substrate in the same way that an elastic wave falling on the piezoelectric film causes an electric field. When it reaches the edge of the substrate, the wave is reflected and within the layers of several materials a number of oscillations occur at the same time – this effect resembles an echo that can be heard when you shout in a tunnel or into a wide tube.

Diamonds and waves

Diamond substrates were not chosen by chance. Piezocrystals are ideal for such devices, as they have a combination of properties such as low acoustic absorption, a high electromechanical coupling coefficient, and a high speed of sound. Diamonds satisfy all these requirements except for one – there is no piezoelectric effect. This is why the devices needed the aluminium nitride film. Engineers are, of course, slightly apprehensive regarding the price, but synthetic diamonds are now becoming more affordable. The properties of synthetic diamonds are superior to those observed in natural diamonds, particularly in terms of their impurity profile and reproducibility, however large natural gem-quality diamonds are much more expensive. The authors of the study believe that synthetic single crystal diamonds are most promising for developing new acoustoelectric devices.

Voluminous waves excited in the layered structure are able to resonate, creating both the basic type (mode) of oscillations, and also generating additional modes. In the substrate and piezoelectric film, in addition to the useful longitudinal-type oscillations, Lamb waves also occur under certain conditions. The spectrum of these waves is in separate branches with the phase velocity dependent on the frequency.

Lamb waves are a complex combination of elastic oscillations occurring in thin layers of elastic media and were first described by the British physicist Horace Lamb. Interestingly, the particles in these waves follow an elliptical path. There are symmetric and antisymmetric (bending) Lamb waves. Phase velocity is the velocity at which a point moves from a predetermined phase – e.g. the crest of a wave; the phase velocity of waves in a particular medium often depends on their frequency and this effect is called dispersion.

In this case it is geometric dispersion of waves in two-dimensional acoustic waveguides. On the one hand, excitation of Lamb waves is not useful in terms of the quality factor of the acoustic resonator in the main (longitudinal) mode, however these types of waves themselves may be of special interest.

Using mathematical modelling, researchers studied in detail the spectrum of various acoustic modes occurring within the diamond structure, using a visualization of the areas of acoustic displacement. They paid particular attention to resonances that occur as a result of there being a whole spectrum of natural oscillation frequencies in the layered “sandwich”. In the simplest case, this frequency corresponds to the frequency at which an elastic system would oscillate in the absence of external influences. If, for example, you touch and release an ordinary pendulum, it will swing with a natural frequency and applying force with this frequency is most effective for its swing. Resonance is when the natural frequency and the excitation frequency coincide – the oscillation amplitude increases sharply.

Natural frequencies depend on the properties of the materials, as well as the geometry of the structure. This means that detectors can be made that are able to detect even individual bacteria that have become attached to their surface – the bacteria slightly increase the mass of the entire system and shift the resonant frequency.

One of the main results was that the researchers succeeded in selecting and identifying different types of waves and forming dispersion laws for them. The results obtained will be useful in the development of microwave acoustoelectronic devices.

Acoustoelectronics is a science combining solid-state physics, semiconductors, and radioelectronics that studies the principles of building devices to detect, convert, and process signals. Acoustic resonators are widely used in science and technology as sensing elements in various physical and chemical sensors and in medical devices. Cavity resonators are popular because of their miniature size and high quality factor, while resonating at high and ultra-high frequencies. The higher the operating frequencies, the smaller the cross-sectional dimensions of resonators are required (~100 microns for a frequency of ~10 GHz).

The acoustic properties of these sensitive elements are developed and studied at MIPT’s Department of Physics and Chemistry of Nanostructures, which is based at the Technological Institute for Superhard and Novel Carbon Materials. It was at this institute where scientists from a number of Russian organisations worked together to develop a method of creating a material harder than diamond; it was also the place where the secret of the abnormal stiffness of polycrystalline diamonds was uncovered – it was found that they are more rigid than single crystals.

Intermolecular, Inc. today announced IMI Labs for Semiconductor, a materials innovation service to help semiconductor companies explore, discover and characterize new materials. With IMI Labs, semiconductor manufacturers now have broad access to Intermolecular’s experimentation platform, materials expertise and data to accelerate materials decisions that have the potential to unlock substantial innovations.

Early identification of new, suitable materials gives semiconductor companies a significant competitive advantage. The pace of materials exploration in the semiconductor industry has increased exponentially since the 1980s, when only a handful of materials were used. Since 2000, 50 new materials have been developed for semiconductor applications, often in complex compounds or stacks. At the same time, semiconductor manufacturers often conduct R&D on production lines, potentially incurring significant risks when introducing a new material.

“The industry is facing major challenges ranging from architecture choices to materials selection. The next wave of semiconductors will require inventing over 40 materials,” said Dr. Scott E. Thompson, IEEE fellow, U. Florida.

“The future of innovation in the semiconductor industry is highly dependent on the discovery and selection of new complex materials,” said Bruce McWilliams, president and chief executive officer, Intermolecular, Inc. “With IMI Labs, semiconductor manufacturers can experiment with various material combinations without bringing new materials into their production fabs. By leveraging our high-throughput platform, expertise and analytics, customers can reduce the time and risk of new materials research and accelerate the materials decision-making process.”

Services available today from IMI Labs for Semiconductor take their roots from work Intermolecular started for the fast growing $77 billion memory market, specifically DRAM and non-volatile memory (NVM). The company is also expanding its offering to address the global $229 billion digital integrated circuits market.  IMI Labs for Semiconductor provides the following benefits for semiconductor materials research:

  • Evaluate and experiment with new materials such as Chalcogenides
  • Experiment with combined stacks or new elements interfacing with multiple layers
  • Expanded empirical data
  • Ability to predict or validate experimental physical and electrical properties with simulation & empirical modeling
  • R&D equipment ready to perform experiments
  • Ability to test new materials before introducing them into production environments

Examples of IMI Labs for Semiconductor services include:

  • High-throughput site-isolated ALD and PVD deposition of multiple materials with in-situ anneal
  • Comprehensive PVD and ALD-based evaluation of several different dielectric, electrode, or interlayer materials in a MIM capacitor film stack
  • Comprehensive PVD-based evaluation of multinary materials (> 5 elements) and metal/metal nitride electrodes
  • Extensive physical and electrical characterization
  • In-depth evaluation of promising materials candidates with temperature dependent testing, stress testing, and Internal Photon Emission (IPE) testing

“Advanced materials are essential to economic security and human well being, with applications in industries aimed at addressing challenges in clean energy, national security, and human welfare, yet it can take 20 or more years to move a material after initial discovery to the market,” according to the Materials Genome Initiative website. “Accelerating the pace of discovery and deployment of advanced material systems will therefore be crucial to achieving global competitiveness in the 21st century.” 

Today, at the 2016 Symposia on VLSI Technology & Circuits, nano-electronics research center imec presented gate-all-around (GAA) n- and p-MOSFET devices made of vertically stacked horizontal silicon (Si) nanowires (NWs) with a diameter of only 8nm. The devices, which were fabricated on bulk Si substrates using an industry-relevant replacement metal gate (RMG) process, have excellent short-channel characteristics (SS = 65 mV/dec, DIBL = 42 mV/V for LG = 24 nm) at performance levels comparable to finFET reference devices.

GAA devices architectures offer optimal electrostatic control, thereby enabling ultimate CMOS device scaling. In addition, horizontal NWs are a natural extension of RMG finFETs, in contrast to vertical NWs which require more disruptive technology changes. Furthermore, stacking of NWs maximizes the drive current per footprint. Imec successfully combined these three aspects, and, for the first time, demonstrated vertically stacked horizontal Si NWs at scaled dimensions: 8-nm-diameter wires, 45-nm lateral pitch, and 20-nm vertical separation.

Compared to the conventional bulk FinFET flow, imec implemented two major differences in the process flow. First, shallow trench isolation (STI) densification at 750°C resulted to preserve sharp silicon-germanium (SiGe)/Si interfaces, which is essential for well-controlled Si NW release. Second, a low-complexity ground plane doping scheme was applied, suppressing the bottom parasitic channel.

“By demonstrating stacked nanowires with solid electrostatic control, at scaled dimensions, and using an industry-relevant RMG process on bulk silicon substrates, imec has achieved breakthrough results that can pave the way to realizing sub-10nm technology nodes,” stated Dan Mocuta, Director Logic Device and Integration at imec. “The upcoming research phase will focus on achieving even denser pitches and on leveraging this knowledge to develop gate-all-around lateral nanowire CMOS devices.”

Imec’s research into advanced logic scaling is performed in cooperation with imec’s key partners in its core CMOS programs including GlobalFoundries, Intel, Micron, SK Hynix, Samsung, TSMC, Huawei, Qualcomm and Sony.

TEM images of an NMOS GAASiNWFET(LG=70nm):(a)overview of the SiNW array; (b)detailed view of two stacked SiNWs

TEM images of an NMOS GAASiNWFET(LG=70nm):(a)overview of the SiNW array; (b)detailed view of two stacked SiNWs