Category Archives: MEMS

With Korea expected to remain the world’s largest consumer of semiconductor equipment, building on its 18 percent share in 2018, SEMICON Korea 2019 is poised to connect global electronics manufacturing companies to new opportunities. More than 450 companies will gather at SEMICON Korea 23 – 25 January 2019, at the COEX in Seoul – for the latest microelectronics developments and trends from industry leaders and visionaries. Registration is now open.

SEMICON Korea, the premier event in Korea for electronics manufacturing, features key insights in artificial intelligence (AI), SMART manufacturing, talent and other critical industry issues. SEMICON Korea brings companies together to “Connect, Collaborate, and Innovate” as the event is poised to set a record of more than 2,000 booths.

  • CONNECT to business and technology leaders to uncover new industry relationships
  • COLLABORATE with industry experts across the electronics manufacturing supply chain
  • INNOVATE to drive new technologies and business

SEMICON Korea 2019 highlights include the following:

AI Summit – AI is powering the next phase of semiconductor industry growth with applications across automotive, manufacturing, and more. Summit attendees will meet industry leaders to discuss new AI collaborations and emerging business opportunities.

MEMS and Sensors Summit – Data acquisition from the edge is essential for IoT and AI to flourish, driving growth of the MEMS and sensor industry. Industry-leading MEMS and sensors companies will share their visions, technology roadmaps and business models for enabling IoT and AI.

SMART Manufacturing Forum – Manufacturing adaptability is a key enabler of advanced technologies and applications. Industry leaders will gather to explore what’s needed to leverage advanced analytics, improve the use of real-time simulation and cyber-physical systems and better integrate the supply chain to drive greater manufacturing flexibility.

Workforce Development – The new Workforce Pavilion at SEMICON Korea extends SEMI’s efforts to help tackle the industry’s vital need for talent. The Pavilion offers university students interviews with industry experts and tutorials on semiconductor production to help students explore career paths. SEMICON Korea will also launch a mentoring program to help students enter careers in semiconductor manufacturing.

SEMICON Korea 2019 will also feature its popular business matching program with seven device makers and original equipment manufacturers (OEMs) meeting with 100 potential customers.

“SEMICON Korea 2019 provides programs that help power industry growth,” said H.D. Cho, president of SEMI Korea. “We continue to expand our event offerings to offer new ways for the industry to “Connect, Collaborate and Innovate.”

For more event information, please click here.

Researchers at RMIT University have engineered a new type of transistor, the building block for all electronics. Instead of sending electrical currents through silicon, these transistors send electrons through narrow air gaps, where they can travel unimpeded as if in space.

The nano-gap transistors operating in air. As gaps become smaller than the mean-free path of electrons in air, there is ballistic electron transport. Credit: RMIT University

The device unveiled in material sciences journal Nano Letters, eliminates the use of any semiconductor at all, making it faster and less prone to heating up.

Lead author and PhD candidate in RMIT’s Functional Materials and Microsystems Research Group, Ms Shruti Nirantar, said this promising proof-of-concept design for nanochips as a combination of metal and air gaps could revolutionise electronics.

“Every computer and phone has millions to billions of electronic transistors made from silicon, but this technology is reaching its physical limits where the silicon atoms get in the way of the current flow, limiting speed and causing heat,” Nirantar said.

“Our air channel transistor technology has the current flowing through air, so there are no collisions to slow it down and no resistance in the material to produce heat.”

The power of computer chips – or number of transistors squeezed onto a silicon chip – has increased on a predictable path for decades, roughly doubling every two years. But this rate of progress, known as Moore’s Law, has slowed in recent years as engineers struggle to make transistor parts, which are already smaller than the tiniest viruses, smaller still.

Nirantar says their research is a promising way forward for nano electronics in response to the limitation of silicon-based electronics.

“This technology simply takes a different pathway to the miniaturisation of a transistor in an effort to uphold Moore’s Law for several more decades,” Shruti said.

Research team leader Associate Professor Sharath Sriram said the design solved a major flaw in traditional solid channel transistors – they are packed with atoms – which meant electrons passing through them collided, slowed down and wasted energy as heat.

“Imagine walking on a densely crowded street in an effort to get from point A to B. The crowd slows your progress and drains your energy,” Sriram said.

“Travelling in a vacuum on the other hand is like an empty highway where you can drive faster with higher energy efficiency.”

But while this concept is obvious, vacuum packaging solutions around transistors to make them faster would also make them much bigger, so are not viable.

“We address this by creating a nanoscale gap between two metal points. The gap is only a few tens of nanometers, or 50,000 times smaller than the width of a human hair, but it’s enough to fool electrons into thinking that they are travelling through a vacuum and re-create a virtual outer-space for electrons within the nanoscale air gap,” he said.

The nanoscale device is designed to be compatible with modern industry fabrication and development processes. It also has applications in space – both as electronics resistant to radiation and to use electron emission for steering and positioning ‘nano-satellites’.

“This is a step towards an exciting technology which aims to create something out of nothing to significantly increase speed of electronics and maintain pace of rapid technological progress,” Sriram said.

By Yoichiro Ando

Artificial intelligence (AI) is on the verge of transforming entire industries as it gears up to power semiconductor industry innovation and growth, thrusting the technology to front and center at SEMICON Japan 2019, December 12-14 at the Tokyo Big Sight (Tokyo International Exhibition Center).

A number of Japanese startups are on leading edge of AI innovation in machine and deep learning. One is Preferred Networks Inc., a company that applies cutting-edge deep learning technology to Internet of Things (IoT) applications across transportation, manufacturing and healthcare.

In his opening day keynote At SEMICON Japan 2019, Toru Nishikawa, president and CEO of Preferred Networks, Inc., will highlight the latest developments and promise of using deep learning for industrial applications. Nishikawa will unpack how AI companies jockeying for competitive advantage will win by harnessing technologies to process massive amounts of data efficiently and quickly.

Following is look at Preferred Networks, Inc. and five other Japanese startups that are driving AI innovation.

Within Japan’s world of AI, machine learning, and deep dearning, Preferred Networks is likely the most well-known Japanese company. The parent company, Preferred Infrastructure, was founded in March 2006 by Toru Nishikawa and Daisuke Okanohara, who focused on search engine development before turning to machine learning and establishing Preferred Networks to commercialize the technology.

Preferred Networks established itself as one of the world’s top providers of machine learning technology with the development of Chainer – an open source deep learning framework that has been offered free of charge since June 2015 and was released before TensorFlow, Google’s renowned Deep Learning framework.

Established in 2012, ABEJA is thought to be Japan’s first venture company to specialize in deep learning. ABEJA’s core technology is its AI platform ABEJA Platform. Based on this platform, the company offers various solutions to more than 100 client companies. ABEJA also offers ABEJA Insight, a specialized package service for the retail and distribution, manufacturing, and infrastructure industries.

Data analytics provider BrainPad Inc. was the first Japanese AI venture listed on the Tokyo Stock Exchange. Established in 2004, before the advent of big data, BrainPad Inc. cultivated a vision of analyzing vast amounts of data in increase the competitiveness of Japanese companies.

LeapMind Inc. aims to offer deep learning technology that uses fewer computing resources and draws less power. Both are important capabilities since deep learning requires considerable computing resources to perform image and speech recognition. The company’s answer to this deep learning challenge is a small form factor FPGA with low power consumption.

In April 2018, LeapMind started offering the tool DeLTA-Lite to support model construction for Deep Learning. The tool simplifies the development of deep learning design models, eliminating the need for model design, hardware, and software expertise.

Hacarus Inc.’s HACARUS-X AI technology, which combines sparse modeling and machine learning technology, features low power consumption and small devices such as FPGAs. In collaboration with semiconductor trading company PALTEK, Hacarus is integrating HACARUS-X algorithms with Xilinx’s FPGA Zynq UltraScale + MPSoC. Both companies area also implementing HACARUS-X algorithms in a box computer.

Sparse modeling is gaining attention as a modeling method by which humans can understand the judgment process of AI by extracting features from a small amount of learning data.

With expertise in life science fields such as medical and biology and image processing technology, LPixel, Inc. develops image analysis systems with original algorithms and machine learning techniques. It has developed a cloud-based AI image analysis platform and an AI medical image diagnosis support technology that streamlines the review of large amounts of research data and detects image fraud in research papers and other documents for the medical and biology fields, freeing researchers to devote more time to their core work.

Yoichiro Ando is a marketing director at SEMI Japan. 

Plessey, a developer of award-winning optoelectronic technology solutions, announces a collaboration with EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, to bring high-performance GaN-on-Silicon (GaN-on-Si) monolithic microLED technology to the mass market. microLEDs are the key optical technology for next-generation AR applications.

Plessey has purchased a GEMINI® production wafer bonding system from EVG to enable bonding and alignment at Plessey’s fabrication facility in Plymouth, UK. This enables Plessey to bond its GaN-on-Si microLED arrays to the panel’s backplane at a wafer level, and with the high level of alignment precision necessary to enable very small pixel dimensions.

EVG’s patented SmartView®NT Automated Bond Alignment System technology is suitable for Plessey’s requirements because it allows face-to-face alignment of the wafers with very high precision. A maximum level of automation and process integration is achieved by the GEMINI Automated Production Wafer Bonding System. Wafer-to-wafer alignment and wafer bonding processes up to 300mm for volume manufacturing are all performed in one fully automated platform.

John Whiteman, VP of Engineering at Plessey, explained: ‘The modular design of the GEMINI system is ideal for our requirements. Having the pre-treatment, clean, alignment and bonding enabled within one system means higher yield and throughput in production. The excellent service provided by EVG has been critical to bringing the system online quickly and efficiently.’

Paul Lindner, executive technology director at EV Group, commented: ‘We are honoured that Plessey selected our state-of-the-art GEMINI system to support their ambitious technology development roadmaps and high-volume production plans.’

This announcement marks another key milestone for Plessey in investment in production-grade equipment to bring GaN-on-Si based monolithic microLED products to market.

By Paul Semenza

Automobiles have become an even more important segment for MEMS and sensors as carmakers integrate more chips for propulsion, navigation, and control into their designs. However, these advanced functions and their crisp rate of adoption have fragmented the sourcing of automotive chips. IHS Markit’s Jérémie Bouchaud provided a closer look at and outlook for this key market at the MEMS and Sensors Executive Congress in late October in Napa. Following are key takeaways from his presentation.

Autonomous and Electric/Hybrid Vehicles to Drive MEMS Market Growth

The automotive market, approaching 100 million vehicles produced annually, is approaching $6 billion, dominated by MEMS and silicon magnetic sensors for chassis and safety, and powertrain applications. Going forward, the market growth will be in autonomous vehicles and electric/hybrid vehicles. Because the penetration of electric and hybrid vehicles is much higher than that of autonomous vehicles, it has a larger available market, particularly for sensors. Each of these markets has its own dynamics.

For example, the electric and hybrid market has historically relied on a significant number of traditional, or non-semiconductor sensors, but new sensor technologies are vying to address multiple sensing needs. The most important limitation on demand of autonomous vehicles is the overall market penetration: IHS Markit expects autonomous vehicle production to reach 10 million at most by 2030.

Production of Electric and Hybrid Automobiles Now Growing at Fast Clip

Production of electric and hybrid vehicles is in a rapid growth phase, and IHS Markit expects penetration of such vehicles to reach 50% of the automotive market by 2030, up from 3% in 2016. The core functions of charging and power inversion require, among other capabilities, current, temperature and position sensing. Historically, many of these functions have been handled by non-semiconductor devices, for example negative temperature coefficient (NTC) thermistors for temperature sensing, devices that appear to be strongly positioned. In other areas, semiconductor sensors are competing with traditional devices.

For example, silicon magnetoresistive devices are going head-to-head with inductive devices for position and Hall effect sensing. Sensing requirements are also likely to evolve over time, particularly as battery systems become more reliable and robust. While some automakers are looking to sensors to monitor pressure or gas leaks from batteries, battery makers are more focused on maturing the systems and reducing the need for monitoring.

Autonomous Vehicles Drive New Source of Demand for MEMS and Sensors

The movement towards automated driving has created a new source of demand for MEMS and sensors, with advanced driver assistance systems driving faster growth than the historical powertrain applications. Currently available vehicles are at Level 2 (partial automation), with multiple cameras and radars. Level 3 vehicles (conditional automation) are likely to enter the market next year, adding driver monitoring cameras, LIDAR systems and, potentially, microbolometers or other night-vision systems. Level 4 and 5 (high and full automation, respectively) will add vehicle-to-vehicle communications and other systems, but are not likely to be widely available for several years.

The autonomous vehicle market, while smaller overall compared to electric/hybrid vehicles, provides a more attractive opportunity for MEMS devices, particularly in LIDAR systems. LIDAR and other sensing/surveying systems are at the heart of autonomous vehicles, and MEMS devices are in demand for the critical beam-steering function. However, demand for image and other sensors will accelerate as the higher levels of autonomy are rolled out.

Automotive Drives Extremely Diverse Set of Applications for MEMS and Sensor Makers

The automotive market presents an extremely diverse set of applications for MEMS and sensor makers. Some companies have developed broad product portfolios and compete in multiple applications. For example, TDK offers NTC thermistors as well as MEMS and silicon-based sensors. Semiconductor companies such as Infineon are competing in MEMS and with silicon-based sensors such as magnetoresitive and Hall effect.

The growth in demand for image and radar sensors used in ADAS, as well as magnetoresistive and Hall sensors in EVs, means that the center of gravity in automotive markets is likely to shift from MEMS over the next several years – a fundamental change, Bouchaud cautioned, that will put automotive sensor suppliers focusing solely on MEMS at risk.

Paul Semenza is a consultant in SEMI Industry Research and Statistics. 

Micron Technology, Inc., (Nasdaq: MU) today announced at Electronica 2018 that it will collaborate with the BMW Group to further advance the development of automotive memory solutions used in vehicles. Memory and storage are key components in accelerating the intelligence and user experience of next-generation systems in vehicles, including in-cabin infotainment as well as advanced driver-assistance systems (ADAS) technology, which together play an important role in making self-driving autonomous cars a reality.

Micron and the BMW Group will intensify their existing efforts toward testing and development of automotive memory solutions at Micron’s state-of-the-art lab in Munich, Germany. Using the Test Automation Framework of the BMW Group as a car emulator platform, the two companies will work together to define and validate memory and storage solutions for next-generation platforms. The collaborative effort will leverage Micron’s memory and storage technology expertise, along with its broad portfolio of DRAM, NAND, and NOR technologies, including LPDRAM, e.MMC, UFS and SSD storage solutions.

As a proven memory partner for automotive manufacturers, Micron recognizes the importance of validating and testing new automotive memory technologies for robustness and reliability before releasing them into the market. Micron’s customer lab expertise in developing innovative automotive memory technologies will enable the BMW Group to raise the quality of the driving experience in automobiles of the future.

“The incorporation of new features and capabilities in advanced in-vehicle infotainment (IVI) and ADAS, such as voice recognition, hand gesturing and image recognition, are driving an explosive growth in both volatile and nonvolatile memory embedded in vehicles, accelerating intelligence at the edge,” said Giorgio Scuro, vice president of Micron’s automotive division. Micron has a long-standing record working with automotive industry partners, and this joint initiative with the BMW Group is a testament to our expertise in bringing innovative automotive memory technologies to market.”

As a leading memory partner with more than 25 years of experience, Micron provides advanced automotive memory solutions that meet stringent quality, reliability and compliance requirements. Micron’s broad portfolio of volatile and nonvolatile memory products are optimized for automotive and supported by a formal product longevity program.

The Chinese Advance Semiconductor Association (CASA) recently hosted the 7th annual IASIC event in Shenzhen, China. Attendees at the event were able to get a look at some of the most leading edge innovations from companies from around the world as well as fellow Chinese companies. Among all the companies involved, NOWI was selected as the overall Innovation Winner for its energy harvesting power module.

The NOWI power module, a type of IC (integrated circuit) eliminates the need for frequent battery changes or impractical cables. Instead it enables any IoT or wearables company to use external ambient energy sources and thereby reduce the need for maintenance. We call this Plug & Forget. This is achieved with an energy harvesting PMIC with the worlds-highest efficiency and sensitivity. With the rise of the Internet of Things billions of wireless devices are required and this technology thereby solves a significant bottleneck in the industry.

Receiving the award, Simon van der Jagt, CEO of NOWI: “We are honored to receive the IASIC award and it has been a valuable experience to learn more about the Chinese semiconductor ecosystem. The Chinese Advanced Semiconductor Association (CASA) and the IASIC organization have recognized that the Internet of Things has a strong need for new power solutions to enable long maintenance-free product lifetimes. With new emerging energy harvesting and power management technology the Internet of Things is entering a new phase of maturity as connected devices become energy autonomous. This dramatically decreases the difficulty and cost of deploying and maintaining Internet of Things systems.”

“China has shown a clear ambition to be one of the leaders in the Internet of Things. As part of this visit, NOWI was also able to explore potential technology collaboration with leading global IoT companies”

During the two day event, a panel of international experts evaluated a range of leading semiconductor innovators. One such judge, former Intel and GE Senior Executive, IASIC Judge Mr Charles Zhang “The NOWI IC is a revolutionary product for the IoT industry, especially for devices like wearables or very power sensitive IoT sensors.  With the ability to capture and process tiny bits of energy from the environment, this innovation can benefit all types IoT sensor devices. The NOWI team did a great job to not only develop this technology but to already be working with customer for the real time deployment. That is why this is a winner.”

Pinnacle Imaging Systems, a developer of high dynamic range (HDR) Image Signal Processors (ISP) and HDR video solutions and ON Semiconductor, a provider of HDR capable image sensors, today jointly announced a new lower cost HDR video surveillance solution capable of capturing high contrast scenes (120 dB) with 1080p and 30 frames per second (fps) output. The new HDR video platform, running on the Xilinx Zynq 7030 SoC, meets the requirements to capture the highlight and shadow details of high contrast scenes, providing the market’s most-expansive dynamic range for surveillance and machine vision applications. The new surveillance solution will be demonstrated during the VISION trade fair (November 6-9, 2018). Camera, AI developers and media interested in seeing live product demonstrations can visit Avnet Silica /Avnet EMG GmbH booth (Hall 1 Stand 1C82) and talk to Pinnacle Imaging representatives.

The Pinnacle Imaging Systems Denali-MC HDR ISP IP Core has been ported to run on Xilinx technology and paired with ON Semiconductor’s AR0239 CMOS image sensor, maximizing the capability of the sensor’s unique three-exposure HDR. The Xilinx hardware-programmable SoC architecture enabled Pinnacle Imaging to develop a new custom sensor interface to support the AR0239 at a fraction of the cost and development time of other SoC or ASIC-based ISPs. Denali-MC’s advanced motion compensation algorithms minimize motion artifacts often associated with multi-exposure HDR capture and Pinnacle’s locally adaptive tone mapping algorithms accurately reproduce color and tonal gradations of high contrast scenes. With Pinnacle Imaging’s proprietary Ultra HDRTM technology, camera placement is no longer a concern. These powerful capabilities also provide camera and AI developers more accurate image data, increasing recognition system accuracy, making the solution ideal for surveillance cameras and machine vision systems, intelligent traffic systems, smart city, autonomous surveillance systems and more.

“As a technology partner, ON Semiconductor has been instrumental in providing the critical support necessary to bring this project to fruition,” said Alfred Zee, CEO of Pinnacle Imaging Systems. “The high dynamic range capabilities of the ON Semiconductor AR0239 sensor, coupled with the performance of the Xilinx Zynq SoC, make an ideal foundation for our Ultra HDR Surveillance Platform. Working closely with the ON Semiconductor team, we’ve been able to achieve the best possible HDR and low light performance from the AR0239 CMOS image sensor.”

Pinnacle Imaging also worked closely with the ON Semiconductor engineers to develop a new sensor interface to support the three-exposure HDR capture mode of the AR0239 CMOS image sensor.

“Pinnacle Imaging’s HDR merge and locally adaptive tone mapping IP achieve the best results from our AR0239 sensor not just in dynamic range but also with respect to accurate color and contrast reproduction,” said Gianluca Colli, VP and General Manager, Consumer Solutions Division of Intelligent Sensing Group at ON Semiconductor. “The flexibility of the Xilinx hardware programmable SoC architecture enabled them to be first-to-market to support our new three-exposure sensor design and serves as an important reference design going forward.”

The Pinnacle Imaging team further optimized its Denali-MC HDR ISP IP to fit into the smaller, cost-optimized Xilinx Zynq 7030 SoC, enabling competitive new markets for smart security and surveillance cameras.

“To be able to offer best in class solutions to our customers we evaluate many ISPs from different vendors. Pinnacle Imaging System’s Denali-MC ISP demonstrated exceptional image quality and HDR tone mapping results and we are excited to have Pinnacle using Xilinx,” said Christoph Fritsch, Senior Director, Industrial IoT Scientific and Medical Business Unit, Xilinx.

SEMI Taiwan today announced the formation of the FlexTech Taiwan Steering Committee. Serving as a platform that connects industry, academia, research and government, the committee will focus on identifying market trends and needs, solving technical challenges and accelerating innovation and business development to advance the flexible hybrid electronics industry and open business opportunities for its members.

Lightweight and highly scalable, flexible hybrid electronics promise to bring new applications and capabilities to consumer electronics, medical and health care, defense, transportation, textile, sports and leisure, robotics and industrial automation. To fulfill the potential of flexible hybrid electronics, the industry must overcome challenges in areas such as yield, cost, regulation and technology standards.

Key focus areas of the FlexTech Taiwan Steering Committee will include:

  • Capitalizing on Taiwan’s success in semiconductor and LCD/LED displays to build a complete flexible hybrid electronics ecosystem
  • Advocating for government policies on developing emerging industries, technology research funding, and talent development
  • Organizing exhibitions and other events to raise awareness and communicate the value of flexible hybrid electronics the electronics industry
  • Establishing industry standards to accelerate research and development and facilitate technology and product development

The FlexTech Taiwan Steering Committee consists of key industry players spanning equipment, materials, design, manufacturing, systems and end applications. Committee members include E Ink, ASE Group, AUO, Hitachi, Brewer Science, CymMetrik, DuPont, Singular Wings Medical, BenQ Materials Corporation, Nagase, Flexterra, Wisechip Semiconductor, AiQ Smart Clothing, Makalot Industrial, Far Eastern New Century, Ritdisplay Corportation, Applied Materials, Industrial Technology Research Institute (ITRI), National Sun Yat-sen University, and Chang Gung University.

Over the past 20 years, SEMI-FlexTech, a SEMI Strategic Association Partner, has promoted the development and commercialization of flexible hybrid electronics. Key achievements include:

  • Working with Boeing to develop a flexible electronic control device to reduce the weight of drones and commercial aircraft
  • Teaming with GE to develop RF stickers that measure human hydration
  • Collaborating with the U.S. Air Force to develop a non-invasive wearable device that measures biodata of aircraft pilots

For the past 17 years, SEMI and FlexTech have championed the development of the FHE industry through conferences and exhibitions in major microelectronics manufacturing regions such as North America, Europe, Taiwan, Japan, Korea, Singapore and China.

FLEX Taiwan, 29-30 May, 2019, is a one-day technical conference that provides a powerful platform for connecting with customers, suppliers, future partners and academia to drive collaboration and uncover new opportunities in flexible hybrid electronics. For more information, please click here.

SkyWater Technology Foundry, the industry’s most advanced U.S.- based and U.S.-owned Trusted Foundry, today announced that Tom Legere has been appointed as Senior Vice President of Operations. In this role Legere will focus on evolving and enhancing SkyWater’s operations as they drive world-class foundry efficiency and customer support in support of the company’s long-term growth objectives.

“I’m extremely excited to have Tom join us at SkyWater as we accelerate our technology foundry transformation and work to blend best-in-class operational efficiency with a highly differentiated technology portfolio.” said Thomas Sonderman, President, SkyWater Technology Foundry. “Tom brings a unique set of operations leadership experiences across the semiconductor industry and the industry segments we serve. This deep understanding of our customers will be critical as we look to scale our business in 2019 and beyond.”

Legere brings an ideal combination of leadership and operational talent to the SkyWater executive team with extensive industry experience in aerospace and defense, life sciences, security, MEMS, renewable energy and semiconductors. He has led both mature and start-up organizations with extensive implementation experience in Design for Manufacturability (DFM), lean and six sigma principles, supply chain management and customer engagement. Over the last three decades Legere has held senior operational roles at a diverse range of companies, most notably Aurora Semiconductor, Sonavation, eSolar, SVTC, Cypress Semiconductor and Atmel.

Added Legere, “SkyWater brings a truly differentiated proposition to semiconductor industry, blending innovative advanced technology development with the ability to manufacture at scale. I’m excited to join the team as we look to further scale the business with an operationally efficient, customer-first approach.”