Category Archives: MEMS

(July 14, 2010) — At SEMICON West 2010, top analysts from Yole and iSuppli discuss the market for MEMS devices; outsourcing MEMS foundries; and the relationship between manufacturing processes, cost, and MEMS adoption. Chief editor Pete Singer summarizes the findings.

The market for MEMS devices is expected to grow rapidly in the coming years, moving from around $6.5B in 2009 to more than $16B in 2015, according to Jean Christophe Eloy, founder and CEO of Yole Developpement. Eloy provided his forecast at Semicon West during an “extreme electronics” XPOT focused on opportunities in MEMS, new applications, integrated functions and faster time to market. The number of units is expected to grow from 3.2 billion in 2009 to 10 billion in 2015.

iSuppli Corp. also issued a rosy forecast for the MEMS market, projecting MEMS revenue to reach $6.54 billion, up 11.1% from $5.88 billion last year. The market will continue to expand through 2014, when it will have grown another $3.3 billion to hit $9.8 billion — equivalent to a compound annual growth rate (CAGR) of 10.7% throughout the 2009-2014 period, according to iSuppli.

As a sign of MEMS demand, Eloy said that major systems companies with internal MEMS fabs, such as Delphi and Conti, are now looking to use external foundries.

He also said that there are many applications that are still emerging and are now in the R&D phase, including energy harvesting and MEMS speakers. “Many new startups are using foundries,” Eloy said. Other growing markets for MEMS include RFID, IR photodetectors, picoprojectors and auto focus technology. Digital compasses, microbolometers, microdisplays, micro fuel cells, oscillators, and microstructures (for watches, for example) were also named.

For 2011, MEMS displays will make a comeback, thanks not only to pico projectors but also to new types of MEMS flat-panel technologies for portable electronics, reports iSuppli. MEMS microphones and BAW filters will increase their penetration, while completely new types of MEMS actuators will bring significant additional revenue for switches or varicaps and autofocus for camera phones.

Eloy said the growth in MEMS is in part due to price decreases made possible by advancements in manufacturing technology. In 2000, typical accelerometer devices were 10mm2 in size, consumed 0.1 mW, cost more than $3.00, and were manufactured on 4” and 6” wafers. In 2010, devices measure about 2-3 mm2, consume 0.05mW and cost $0.7 and are manufactured on 6” and 8” wafers. By 2020, MEMS devices will measure 1-2mm2, consume less than 0.05mW, cost less than $0.4, and be manufactured mostly on 8” wafers. 3D integration will also be used at the 2020 node.

Click to Enlarge
The figure shows iSuppli’s MEMS revenue forecast from 2006 to 2014, with revenue dipping in 2008 and then bottoming out in 2009 as a result of the global recession.

The expected rise in MEMS revenue this year will mirror an equivalent increase in MEMS unit shipments, anticipated to reach 4.14 billion units, up from 3.44 billion units in 2009. Overall, MEMS shipments will log an impressive 19.5% CAGR to top 8.5 billion units by 2014, iSuppli data indicate.

“MEMS production is back on the fast track,” said Jérémie Bouchaud, director and principal analyst for MEMS and sensors at iSuppli. “Beginning in September 2009, MEMS manufacturing began to grow at a rate that came close to the high-level mark set three years ago in 2007. In particular, the MEMS market is being fueled by the continuing might of MEMS applications for consumer electronic devices and mobile handsets. These two sectors bulldozed their way through the economic crisis, in the process cementing their status as the new locomotive for MEMS.” By 2014, Bouchaud said both sectors will generate $3.2 billion in value, accounting for 32% of total MEMS market revenues.

MEMS sensor production for automotive applications likewise remains high, with the market set to rebound in 2010 after a fall last year. Factors stimulating the growth of automotive MEMS include anticipated stronger shipments of cars from 2010 to 2014, mandates in the United States and Europe for vehicular safety systems, and continuing regulation in the reduction of harmful emissions.

Also enjoying robust growth is the high-value MEMS market for the industrial, medical and aerospace-defense sectors. With a projected CAGR of 13.7% from 2009 to 2014, the MEMS market for these segments will be worth $2.3 billion at the end of the forecast period, iSuppli data show.

Among MEMS devices, three segments will exceed the $1 billion mark this year, and two others will be close to joining that list by 2014.

Inkjet printheads will remain the dominant-selling MEMS device from now until the end of 2014, with revenue exceeding $2 billion that year. However, growth in this segment is stagnating and will experience dwindling shipments as the market transitions from disposable to permanent printheads, says iSuppli. Rounding out the top 5 — along with their projected revenue in 2014 — are accelerometers, in second place with $1.7 billion; pressure sensors, in third with $1.4 billion; gyroscopes, in fourth with $961 million; and optical MEMS for displays, in fifth with $940 million.

–Pete Singer, editor in chief, Small Times

(July 14, 2010) — SEMI published eight new technical standards applicable to the semiconductor, MEMS, FPD and photovoltaic (PV) manufacturing industry. The new standards, developed by technical experts from equipment and materials suppliers, device manufacturers and other companies participating in the SEMI International Standards Program, are available through the SEMIViews Standards product, available at www.semi.org/semiviews.

“Today’s release of these eight new SEMI International Standards covers a wide range of applications, including display, PV, and 450 mm wafers,” said James Amano, Director, SEMI International Standards. “These standards address issues that are active today, and those that will arise when and if the industry moves to larger-diameter silicon.”

The list of new SEMI Standards being released includes:

  • SEMI T20.3, Specification for Service Communication for Authentication of Semiconductors and Related Products;
  • SEMI E158, Mechanical Specification for Fab Wafer Carrier Used to Transport and Store 450 mm Wafers (450 FOUP) and Kinematic Coupling;
  • SEMI M76, Specification for Developmental 450 mm Diameter Polished Single Crystal Silicon Wafers;
  • SEMI D60, Test Method of Surface Scratch Resistance for FPD Polarizing Film and Its Materials;
  • SEMI E156, Mechanical Specification for 450 mm AMHS Stocker to Transport Interface;
  • SEMI E157, Specification for Module Process Tracking;
  • SEMI D59, 3D Display Terminology; and
  • SEMI PV4, Specification for Range of 5th Generation Substrate Sizes for Thin Film Photovoltaic Applications.

The new standards, part of the July 2010 publication cycle, join more than 790 standards that have been published by SEMI during the past 36 years. The SEMI Standards Program, established in 1973, covers all aspects of semiconductor process equipment and materials, from wafer manufacturing to test, assembly and packaging, in addition to the manufacture of flat panel displays, photovoltaic systems and micro-electromechanical systems (MEMS). Visit www.semi.org/standards for further details about SEMI Standards.

Get all the latest news from SEMICON West at http://www.electroiq.com/index/Semiconductors/semiconwest2010.html

(July 13, 2010) — MicroProbe Inc., supplier of wafer test technology to the global semiconductor industry, released the MEMS-based Mx-FinePitch (Mx-FP) probe card. The test card series targets ultra-fine-pitch testing of leading-edge SoC and logic devices found in applications like digital cameras, set-top boxes and digital TVs.

Built for probing multiple devices under test (DUT) in high-volume semiconductor production environments, the product requires no probe positioning adjustments throughout its lifetime. It is customizable for diverse application needs, providing design flexibility for SoC feature integration. Chief advantages include higher throughput, longer life and lower maintenance, according to the company.

Click to Enlarge

Features include fine-pitch probing, scalable down to 40µm in-line and 20µm/40µm staggered layouts; maximum pad layout flexibility, with minimal design-rule restrictions; in-die core pads and multi-row-pad accessibility; low contact force, suiting probing over active circuitry and testing devices incorporating low-k materials; replaceable MEMS probes for easy maintenance; and a small scrub area offering die-size reduction opportunities for pad-limited devices.

Multi-DUT testing is seeing increasing use as a means of reducing test costs for complex price-sensitive consumer ICs. At the same time, the combination of shrinking packaging geometries and the incorporation of new materials like low-k dielectric films, present new and unique challenges for test engineers. In particular, low-k films demand low probe force and minimal pad damage during wafer contact to prevent device damage and yield loss. These factors, along with other typical challenges associated with smaller geometries, further complicate testing, especially during high-volume production, where conventional probe cards require regular positioning adjustments to ensure accurate alignment. The adjustment requirements increase in frequency in multi-DUT environments which hinders production uptime. MicroProbe’s low-force Mx-FP needs no positioning adjustments throughout its lifetime, making it an uptime-enabler and an ideal new probe card for high-volume production.

“The Mx-FP probe card offers superior electrical performance in a scalable, robust, production-proven architecture. This combination provides the highest possible yields, while maximizing utilization rates and throughput of expensive test cells,” said Mike Slessor, CEO, MicroProbe. “We developed the product in collaboration with our customers, in anticipation of the technical and cost challenges they faced as they moved their advanced devices into high-volume production. The Mx-FP exploits the advanced MEMS technology featured in our Vx-MP and Vx-RF probe cards.”

MicroProbe provides advanced wafer test solutions to global semiconductor manufacturers. For more information, please visit www.microprobe.com

To get the latest coverage of SEMICON West, visit http://www.electroiq.com/index/Semiconductors/semiconwest2010.html

(July 12) — Affinity Biosensors and Innovative Micro Technology Inc. (IMT) entered into a strategic foundry partnership today for volume production of suspended mass resonator (SMR) MEMS devices enabling particle measurement in fluidic solutions with femtogram resolution. The SMR MEMS devices are the chips that drive Affinity Biosensors’ ARCHIMEDES Particle Measurement System.

Originally conceived at Massachusetts Institute of Technology (MIT), IMT refined and developed a robust process for volume production, including a key component to this product – sub-mTorr vacuum, wafer-level packaging (WLP) technology. ARCHIMEDES measures a particle as it traverses through a microfluidic channel embedded in a resonating cantilever. The mass is determined by detecting the change in resonant frequency at the time the particle enters the tip of the cantilever. Achieving femtogram resolution requires the cantilever to have a very high Q-factor that is only accomplished by encapsulating the cantilever in high-vacuum WLP.
 
"Working with IMT has been a very rewarding experience. I know of no other MEMS foundry with the breadth of facilities and depth of expertise needed to develop the sensors for ARCHIMEDES, and to bring them into production. It is not an exaggeration to say that ARCHIMEDES, and perhaps Affinity Biosensors itself, might not exist without our relationship with IMT," said Ken Babcock, Ph.D., CEO of Affinity Biosensors.

IMT builds complex MEMS devices in today’s market. Incorporating proven technology modules and platforms, such as WLP, through silicon vias (TSV), and 3D microfluidics helps to mitigate program risks and achieve production-friendly processes. As a result, IMT’s customer products are reaching the market in ever faster times.
 
"Of course, we are always pleased when we can provide a value that enables our customers to achieve true technical differentiation in the market," stated Dr. John Foster, CEO of IMT. "While the concept of the SMR chip is simple, the technology used to produce these devices is not. We are fortunate to have been able to leverage our standard processes and depth of experience in microfluidics to help shorten the development time of this project and are thrilled to be supporting Affinity Bio in production today."

Affinity Biosensors is pioneering ultra high-resolution mass measurement for real-world applications in industrial manufacturing, research, life sciences, and nanotechnology. Learn more at www.affinitybio.com

IMT produces and develops MEMS devices and is a pure-play MEMS foundry in the United States. For more information, visit http://www.imtmems.com

(July 12, 2010) — Rudolph Technologies, Inc. (NASDAQ: RTEC), provider of process characterization equipment and software for wafer fabs and advanced packaging facilities, announced that the Fraunhofer Institute for Silicon Technology (ISIT) in Germany has placed an order for an NSX® Series Macro Inspection System for advanced MEMS processing. The system will be installed this summer in the state-of-the-art 200mm MEMS pilot production line at ISIT.

Click to Enlarge

“We are pleased to continue working with ISIT on next-generation MEMS processes,” said Hartmut Seeger, sales manager for Rudolph in Europe. “ISIT evaluated the NSX System along with several other inspection systems for this application. Acceptance of this tool confirms that the investments we have made to address unique MEMS inspection requirements, including the challenge of wafer handling, are meeting our customers’ needs.”

The ISO 9001:2008-certified production environment at ISIT enables the development of advanced MEMS devices for inertial, RF and electro-optical applications with the required application-specific packaging technology at the wafer level. The functional integration of extremely small features requires automatic defect inspection at small dimensions with high throughput and limited effect on the wafers. Hermetic wafer level vacuum packaging (with integrated getter) requires an inspection tool that is highly flexible in both hardware and software features.

“Silicon and glass cap wafers are not only fragile, but have deep cavities and sensitive features on both sides of the wafer, requiring a unique wafer handling concept,” said Dr. Wolfgang Reinert, team leader-advanced electronic packaging, Fraunhofer ISIT. “The cap wafer inspection results need to be mirrored and interfaced with the ISIT final electrical test equipment for single device traceability and inkless assembly.”

Sascha Mühlmann, MEMS engineer, Fraunhofer ISIT, added, “The capabilities of Rudolph’s Discover® all-surface defect analysis and data management software on the NSX platform support these tasks during the device development phase and after the technology transfer to MEMS pilot production.” The NSX Series is a fast, repeatable macro defect inspection solution used throughout the semiconductor device manufacturing process. Macro defects can be created during wafer manufacturing, probing, bumping, dicing, or by general handling, and can have a major impact on the quality of a microelectronic device. The NSX, specifically designed for back-end manufacturing and often selected by automotive device manufacturers for 100 percent inspection, can handle whole wafers and thinned wafers on film frames. It can quickly and accurately detect yield-inhibiting defects to provide quality assurance and valuable process information.

The Fraunhofer Institute for Silicon Technology (ISIT) works on design, development and production of microelectronic components as well as micro-sensors, micro-actuators and other components for microsystems technology. Further services offered by the institute are analysis and development of technology pertaining to the quality and reliability of electronic assemblies as well as packaging and mounting technology for microsystems, sensors and multichip modules.  www.isit.fraunhofer.de

Rudolph Technologies, Inc. designs, develops, manufactures and supports defect inspection, process control metrology, and data analysis systems used by semiconductor device manufacturers. For more information, visit www.rudolphtech.com.

(July 12, 2010) – Following are some of the highlights of the SEMICON West exhibit halls, open July 13-15 at the Moscone Center in San Francisco, CA. Products on display include TSV technology, ALD systems, vacuum and wafer transport tools, and more for semiconductor and package manufacturing.

Click to EnlargeMultiwafer ALD system
The QXP-8300 multiwafer system is the next generation ALD product for dielectric and metal films. The applications comprise conformal high-<I>k</I> dielectrics for DRAM, eDRAM, MIM, logic, gate stack and flash IPD, as well as conformal electrode metals, i.e. metal electrodes and gate metal for DRAM, logic, and MIM. The tool offers a CoO proven by fab economics stating >$1M savings per year for 80k wafers start per month and >40% less precursor consumption compared to other ALD systems, the company says. Enabled by the TriJet vaporizer, the high-productivity system delivers excellent step coverage, i.e. 95% in >60:1 AR structures. Excellent WiW and WtW uniformity can be achieved since the unique Close Coupled Showerhead distributes the precursors homogeneously in short time. Due to its modular design the tool can be handled in a flexible way and is easy to maintain. No rotating parts ensures high system availability.  Aixtron, #1907 (South)

High-aspect-ratio TSVs
AquiVia Fill is a high-purity, copper-plating chemistry designed for filling high-aspect- ratio through-silicon vias (TSVs) with diameters <5µm. These narrow vias help free up space on stacked chips and also improve signal integrity and system reliability. In combination with AquiVia XS, AquiVia Fill also extends chipmakers’ ability to shorten the metallization process, and slashes overall cost of ownership compared to traditional metallization processes. In contrast with current solutions based on complex and strongly acidic chemistries, AquiVia Fill is a mildly basic solution that does not attack or degrade the underlying films. It removes the need to electrically polarize the cathode, which can seriously degrade metal films due to excessive current density flowing through the small wafer area that receives first contact with the electrolytic solution. AquiVia Fill contains no chlorine, normally added to acidic solutions to boost the effect of additives. As a result, no CuCl crust forms on the anode, eliminating the risk of crust fragments detaching and depositing onto the wafer. This also reduces cost by eliminating the need for a filtering membrane between the wafer and the anode in legacy systems.  Alchimer, #1811 (South)

Aligner wafer bonderClick to Enlarge
The FAB12 automated cassette-cassette aligner wafer bonder adds automated wafer handling to the unique benefits of the ground breaking AWB in-situ wafer bonding platform (align and bond in a single chamber) using robot systems from Brooks Automation to enable high-throughput processing for volume manufacture. Target applications include MEMS, IC, WLP, and 3D integration applications. The in-situ alignment tool maintains its industry leading throughput via simultaneous heating, pumping and alignment in a single bond chamber — no cluster tool required, minimizing footprint. It performs all standard wafer bonding processes with 1µm accuracy, as well as other unique processes such as; in-situ polymer/adhesive UV cure bonding and in-situ chemistry just before bonding contact. Wafer sizes: 150mm & 200mm (300mm ready).  Applied Microengineering, #2631 (South)

Click to EnlargeWafer transport solution
DEK’s new wafer transport solution, available as an option on its Galaxy, Europa, and Horizon 01iX and 02iX print platforms, affords packaging specialists new levels of control, precision, and throughput for complex wafer level packaging processes.  A specialized precision wafer pallet is central to the technology, as it allows for exceptional wafer flatness of wafers as thin as 75 microns and as large as 300mm in diameter.  Movement of the loaded pallet into and out of the print platform is achieved through a next-generation rail system that has been engineered to provide outstanding stability, with precise control of speed, acceleration and positioning.  The precision wafer pallet delivers superb flatness of the wafer to less than 10 microns within the critical process area, while the novel rail technology provides for stable movement control to facilitate excellent throughput and UPH.   As part of a complete in-line system, DEK Wafer Transport Solution is capable of throughput rates of up to 60 wafers per hour and it enables a variety of applications including wafer bumping, DirEKt Ball Placement and wafer coatings, among others.  DEK, #5251 (North)

Vacuum pump for semiconductor processingClick to Enlarge
The iXL120, designed for load lock and other clean applications, offers peak pumping speed of 110m3/h, 22% faster than any other pump in its class (20 liter chamber), the company says. Average energy consumption (power at 700T exhaust pressure) is only 550W, one of the lowest in its class. It’s also small (450mm x 230mm x 280mm) and lightweight (59kg), so it can be mounted on the process tool or within the fib’s waffle floor. The pump incorporates oil lubricated precision bearings and timing gears to reduce vibration during pump operation, and it includes a zero nitrogen purge option (for processes that do not use corrosive gases to eliminate the need to provide nitrogen to the pump) as well as a nitrogen purge for use where there is a risk of corrosive gas carryover.  Edwards, #2508 (South)

Click to EnlargeSemi-automated bond alignment
The EVG610 is a flexible desktop unit targeted for R&D and small-scale production needs in MEMS/MST markets. The EVG610 contains a precision alignment stage for optical bond alignment. Features include: a semi-automated alignment stage, fully motorized high resolution bottom side microscopes; IR alignment for inner substrate key alignment; and the company’s NanoAlign package for enhanced process capabilities. Substrate/wafer parameters are 2-3" and 100-150mm, 0.1-4mm thickness, and 4.4mm max. stack height.  EV Group, #1225 (1225)

Cartridge filters
A new family of efficient cartridge filters specifically target filtration for ultrapure water (UPW) and de-ionized (DI) water used in the manufacture of semiconductors and silicon wafers. These filters offer 3x the flow rate of best-in-class filters without sacrificing particle retention, the company says, and can be easily substituted for filters in the field. They also can provide an alternative to ultrafiltration (UF) modules. The company cites current usage in fabs in the US and Asia.  Gore, #2220 (South)

Reticle storage pod opener
The RSP opener is an economical solution to open/close standard reticle SMIF pods, vs. $20,000+ for auto RSP openers. In addition to being ergonomically designed and operator friendly, the unit includes a lid storage area and a mask pick holder; it also includes a handy mask pick holder. The product is ESD safe and constructed from cleanroom-compatible and chemical-resistant engineering plastics.  H-Square, #907 (South)

Wafer backside coating for stacked dieClick to Enlarge
The Ablestik WBC-8901UV material is designed to address the demanding requirements of multiple die stack applications for the memory market segment, including packages such as TSOPs, MCPs and FMCs (flash memory cards). The material offers a robust and cost-effective alternative to current film-based solutions for die stacking processes, reducing the total cost of ownership as compared to film by as much as 30%-50%, the company says. Die attach thickness can be adjusted based on specific manufacturing requirements and dicing tape is selected by the packaging firm.  Film die attach materials are generally supplied in pre-determined thicknesses as a bundled product which incorporates the dicing tape. In combination with new spray coating technology, the material delivers a precise wafer coating as thin as 10µm with a total thickness variation across the wafer of +/-10% and remarkably low material waste of less than 20%.  Wafers as thin as 50µm have been successfully processed using this method, the company says.  Henkel, #721 (South)

SiGe-MEMS foundry, MPW service
IMEC has extended its CMORE offering with SiGe-MEMS foundry service and a multi-project wafer (MPW) service for universities and research centers, via its EUROPRACTICE IC service. Both services are based on a monolithically integrated SiGe MEMS baseline process and come with an extended design kit. The SiGe-MEMS technology is based on a MEMS-last approach, where the MEMS are processed after and on top of the CMOS circuits. It enables monolithic integration of CMOS and MEMS, integrating MEMS devices with the driving and readout electronics on the same die. Its flexible and modular approach allows application specific tuning and optimization — e.g., the thickness of the MEMS structural layer, which can vary between 300nm (for optical MEMS) and 4µm (for structural layer for gyroscopes or actuators). A 300nm-thick layer allows making optical MEMS, e.g. micromirrors. A first MPW run for initial prototyping is scheduled for the end of 2010; a second run with full capability and SiGe-MEMS devices on top of TSMC 0.18µm CMOS is scheduled for mid-2011.  Imec, #1934 (South)

Click to EnlargeE-beam defect review, reticle inspection, overlay metrology
The eDR5210S is an updated version of the e-beam defect review and classification system released at last year’s SEMICON West, offering high resolution, stage accuracy, new algorithms, and unique access to proprietary data about mask orientation and defect characteristics from the company’s TeraFabHT reticle defect inspection system. A reticle defect review (RDR) mode simplifies and accelerates review of potential reticle-induced defect sites; the new version also introduces critical point inspection (CPI) mode, allowing a fab to monitor known ‘hot spots’.
The TeraFabHT reticle defect inspection system features improvements to its predecessor’s laser, sensor, optical path, and signal processing algorithms, including the company’s patented STARlight mode that enable increased detection sensitivity and throughput. The new tool also allows inspection of single-die and multi-product masks, leading-edge mask types using novel materials (such as OMOG) and designs employing unusually small OPC features.
The Archer 300 LCM provides high-performance overlay measurement capability for 2Xnm logic and 1Xnm half-pitch memory devices. Improvements to the optical subsystems deliver unsurpassed precision, better measurement repeatability, and faster measurement speed than the Archer 200. New in-field overlay metrology capability and support of a smaller metrology target allows lithographers to take more measurements across the wafer, and supports characterization of overlay error for double patterning and other advanced process technologies. It is upgradeable from existing Archer metrology tools.
KLA-Tencor also has a new client/server service model, KlearPoint, with a customized data interface to the company’s inspection and metrology tools to collect operational and tool parameter data in real time. 
KlearPoint is a new service model that utilizes KLA-Tencor experts to proactively manage tool performance through real-time tool monitoring. KlearPoint can be added to service agreements to improve tool performance and productivity for customers worldwide. The service is aimed at maximizing Overall Equipment Effectiveness (OEE) by increasing uptime and recipe success, reducing lost-time due to interrupts and enabling a more predictive and preventive service model. The KlearPoint client-server system resides within the customer site and connects to KLA-Tencor inspection and metrology tools using a customized data interface that collects operational and tool parameter data in real time. With this data, which is obtained and analyzed using algorithms specifically developed for this application, KLA-Tencor experts can conduct drill-down analyses and help determine the root causes of problems—providing a proactive service delivery model that results in improved tool performance and productivity. KlearPoint is installed at multiple customer sites throughout the world. KLA-Tencor Corporation (NASDAQ: KLAC), #1947 (South)

Ultrasonic DSP flowmeter
The LeviFlow ultrapure ultrasonic flowmeter is designed for non invasive high-precision flow measurements of high purity fluids from 1ml to 80l/min. Features include high accuracy (1% of reading) and repeatability (≤ 0.5%), improved bubble robustness (a digital signal processor handles the sensor signals), and high-precision flow control with the company’s MagLevPump. For high-volume applications a multi-channel converter is available, which processes 6 sensors with one single converter.  Levitronix, #2408 (South)

Residual gas analyzers
The Microvision 2 and e-Vision 2 Web-enabled residual gas analyzers offer greater stability and reliability in e-diagnostic, process monitoring and general vacuum trouble-shooting applications, building on the company’s Microvision Plus, e-Vision and Vision 1000 product lines. The platform uses robust proprietary technology for data acquisition, with industry standard technology for communication and control. Improved I/O capacities, higher data quality, and enhanced speed and accuracy enable capture of a greater number of process excursions and more accurate alarm decisions with fewer false positives. They are available for new or established installs alongside other instruments in the MKS range of process RGAs and gauges.  MKS Instruments, #5356 (South)

Single-axis bearing stagesClick to Enlarge
The SinguLYS family of modular bearing stages target single-axis, split XY, and gantry applications. The S-370 stage made from SiC ceramic components can be used in tight spaces (e.g. mechanical bearing designs), and features integrated pressure-vacuum air bearings. It’s ideal for very high-duty cycles requiring low angular deviation and tight velocity regulation. The B-1200 bridge has a proprietary ceramic beam to replace steel or granite-based designs for improving acceleration and decreasing settling times. It accommodates high (10kg) cantilevered payloads with 2.5G acceleration and, unlike stages using mechanical bearings, minimizes contamination to the substrate below. Applications include Gen 8-11 flat panel display inspection, thin film photovoltaic scribing, and wafer processing.  Newport, #1807 (South)

Click to EnlargeBond tester
The 4000Plus can perform a wide range of shear and pull applications as well as new push functionality up to 50kg, suitable for the full spectrum of traditional bond tests as well as emerging test applications including ribbon pull, new hot bump pull and fatigue. A unique anti-backlash system aids the setting and control of step back. The system is available with a range of XY stages, with a 160mm XY stage as standard and an image capture system for advanced analysis that is quick to set-up to aid faster testing; it also has an extended working envelope of 200mm for testing oversize parts. The system utilizes Nordson DAGE’s Paragon software that offers functionality including semi-automatic test routines and automatic GR&R calculation.  Nordson Dage, #5947 (North)

Flux jetting system
The Spectrum S-930N series selective flux jetting systems for low-viscosity flux and phase-change high-tax fluxes enable thin flux coatings as low as 5microns; selective flux jetting provides 0.5-1.0mm edge definition to minimize flux residue and overspray. Fluidmove software controls the amount of flux jetted to accommodate flip chips of varying bump heights. A dual lane configuration is available for high-volume production. The company’s MH-900 series loaders/unloaders can be added for inline production or in a work-cell configuration with a same-side load/unloader.  Nordson Asymtek, #5947 (North)

Wafer handler
The Olympus AL120 wafer handling system, its newest generation, delivers the renowned performance and reliability for which Olympus microelectronics products are known. The AL120 system transfers wafers with thicknesses down to 90 micrometers to meet the demands of thin-wafer manufacturing. The new system offers 360-degree rotation, for full macro examination of the wafer’s back surface and edges.  User friendly and recipe driven, it can be programmed for different configurations, including specific cassette types, wafer specifications and transfer speeds. Quick push-button recipe selection allows the operator to load different products instantly. The AL120 wafer handler accepts wafers that are 100-200mm in diameter. It can be adapted for smaller-diameter wafers and used with non-Olympus microscope models on a custom basis. The AL120 wafer handler is fully compliant with international Reduction of Hazardous Substances (RoHS) standards.  Olympus America Inc.’s Scientific Equipment Group – Industrial Microscopes. #6047 (North)

IR thermometer to measure annealing temps
The OS1592 infrared fiber-optic thermometer/transmitter features a local display and two isolated analog outputs that indicate current plus min, max, or differential temperatures — up to 2482 degrees Celsius with 1% reading accuracy. A built-in relay, alarm LED, and a 4-position programmable keypad adjust emissivity (0.05 to 1.00) and high & low alarm set points. The system is well-suited for both hard-to-reach small areas and polymer bolt applications in semiconductor manufacturing to monitor the temperature of the wafer during electrical test and other manufacturing processes, as well as metal annealing to monitor the temperature of annealing process.  Omega Engineering, #5778 (North)

Gas purifiers for CDA and HBr
The latest additions to the Areskleen media for the purification of lithography clean dry air (CDA) and hydrogen bromide (HBr) gases. The CDAP material removes moisture (H2O), hydrocarbons, refractory compounds, acidic and basic gases in CDA to or below the levels specified in the 2009 ITRS. The HBRP material removes H2O to <50 parts per billion (ppb) in HBr gas. Both materials are available in the company’s standard Gaskleen Purifier assemblies with flow rates up to 1,000 standard liters per minute (slpm). Assemblies include integral 3nm or 0.4µm particle filters.  Pall, #1721 (South)

Magnetically levitated turbopumpsClick to Enlarge
The HiPace M series offer high pumping speeds (300 l/s, 700 l/s and 800 l/s) and high compression ratios for all gases, optimized to run in all orientations with a low vibration signature. An advanced rotor design provides unlimited rotor service life and dependable operation. These pumps are rated Protection Class IP54 for harsh industrial environments. An intrinsically low dynamic magnetic field does not interfere with even the most sensitive equipment. An integrated electronic drive unit reduces the need for cabling and cable failure. Power consumption and run-up time also have been reduced. An optional sealing gas connection safeguards against particulate buildup and oxidizing gases.  Pfeiffer Vacuum, #532 (South)

Reducing waste in wafer processing
The CoreTegral framework helps manufacturing facilities develop an open, maintainable system for centralized integration and automation of process equipment in an entire facility, irrespective of the equipment’s age or manufacturer. Designed to be flexible and extensible — and maintained by IT professionals, not process engineers — it is built on industry-standard C# within the .NET environment, utilising Microsoft SQL Server as the detailed automation data cache.  Savantech, #1813 (South)

Test for power semiconductors
The Comptest MX Platform, already offered as a general purpose SoC & SiP test platform, has been enhanced with specific instrumentation for the production test of power devices, to force high current/voltage while performing multi-site test, with the additional possibility to integrate test and automation. It can perform the test at wafer or package level, combining static and dynamic test capabilities. Features include automatic spike detection to avoid damage on the device, and embedded alarms on high-voltage and high-current modules (e.g. over temperature, over current, floating, Kelvin, etc). Also new: the PMTC 100 test cell for automated handling, contacting and testing of IGBT and semiconductor power modules.  SPEA, #5577 (North)

Click to EnlargeMask aligner for HB-LEDs; semiautomatic MEMS bonding
The MA100e mask aligner offers a dedicated lithography solution for manufacturing high-brightness light emitting diodes (HB-LEDs), as well as other compound semiconductors, such as power devices or RF-MEMS. It processes wafers up to 4in. with throughput up to 145 wafers per hour (215 wph first mask) with reduced cycle times. High-intensity exposure optics and pre-alignment options shorten process time while functionalities like proximity exposure for high resolution down to 0.7µm maximize yield and cost-efficiency. It can be equipped with an innovative multisize toolset that minimizes the time required for wafer size changeover, leading to exceptional process scalability. Alignment microscope, camera system and advanced alignment software of the MA100e Gen2 are tailored to the specific requirements of HB-LED manufacturing and offer excellent contrast even on transparent and textured wafers. Targets can be found even if the surface background changes one each wafer.
The semi-automatic CB200M features bond forces up to 90kN and temperatures to 500°C, ideal for advanced MEMS, 3D stacking and LED bonding applications, supporting advanced eutectic, fusion and metal fusion bond processes. For full production needs, a 200M transfer station to load the wafers into the bond module can be docked onto a CBC200 production bond cluster. Pressurized gas applies force instead of hydraulic fluids to keep the process and clean room free of contamination. Unique vacuum isolation layers focus heat conduction pathways and enable rapid heating (30°C/min) and cooling times (20°C/min) and ensure excellent temperature uniformity (±1%).  Suss Microtec, #1707 (South)

Ammonia gas analyzer for HB-LEDs
The Aloha ammonia analyzer utilizing cavity ring-down spectroscopy is designed and manufactured exclusively for HB LED-related applications. Users can measure moisture in ammonia and inerts. There are no off-line periodic sensor maintenance procedures, no span calibrations, no purifier replacement and no pump rebuilds required. It can detect down to 10ppb with 5ppb sensitivity and 4% of reading accuracy (+/- 5ppb), for gas flow rate up to 1000 sccm and up to 60°C.  Tiger Optics, #1431 (South)

Single-touchdown full-wafer DRAM probe card
The 1Td300 full-wafer probe card offers single-touchdown, high-volume testing of advanced DRAM memory devices, capable of highly parallel testing of 300mm or 200mm wafers. It can test an entire 300mm wafer with an industry-low 2g of force per probe, less than half that of comparable products.  Touchdown, #5847 (North)

Plasma strip and MEMS release
The ENVIRO- 1Xa advanced plasma resist strip system, designed for non-300mm fabs, can handle the complete line of wafer sizes (4-8-inch), capable of high-speed photo resist removal at more than 10µ/min with excellent repeatability and high reliability. It can also function as a MEMS device release tool for removing sacrificial film layers. Features include a choice of plasma sources (high-rate downstream MW, or RIE), >10µ/min ash-rate (high-rate downstream plasma source), high throughput, auto endpoint detection, dual cassette loading, compact footprint, GUI and touch screen; and the system is EU-RoHS compliant. Applications include resist strip for LED and power device manufacturing, high dose implanted resist removal, bulk resist strip, descum, and MEMS sacrificial layer removal.  Ulvac, #1321 (South)

Visit the SEMICON West center for more of the latest news from the show: http://www.electroiq.com/index/Semiconductors/semiconwest2010.html

July 1, 2010 – After a tough year in 2009, MEMS auto sensors will rebound sharply in 2010, though there’s a danger of overheating and braking the market back into a recession, says analyst firm iSuppli.

Global shipments will top 591M units this year, up nearly 18% from a year ago (502M units). Orders to sensor companies practically dried up during the overall economic meltdown — but by 4Q09 shipments picked up quickly, exceeding 2007’s record levels. Look for an upturn through at least 2014, the firm projects, in a new report.

Click to Enlarge

Leading the charge for the rejuvenated automotive MEMS market are pressure sensors, used for measuring tire pressure and engine performance, thanks in part to ramping production of passenger vehicles and inventory replenishment. Shipments are running at 3× the historic average, iSuppli says, citing "at least half" of suppliers. Through April, about half the year’s expected requirement for MEMS pressure sensors had been satisfied; some major Tier 2 suppliers are unable to keep up, and Tier 1s are indicating a shortage of some parts, the firm notes.

Vertically integrated suppliers Robert Bosch ($355M) and Denso ($203M) dominated the market in 2009, with others including Freescale ($139M), Sensata ($115M), Analog Devices ($95M), and Panasonic ($85M). The latter gained market shares with gyroscopes due to contracts with Continental AG and TRW (for ADI) and Ford (for Panasonic).

A mandate for growth

While MEMS suppliers are taking full advantage of their situation, there is increasing skepticism about the direction of the industry, iSuppli says. If sales stay at current levels — and they don’t show signs of slowing down — there could be overheating and possibly a second slump later in 2010.

What’s driving MEMS sensor adoption in cars, from an average of 8.12 per vehicle in 2009 to >11.5 in 2014? In a word — mandates. Electronic stability systems in vehicles will be mandatory in the US in 2012, and in the European Union by 2014, which also will require tire pressure monitoring system (TPMS). Look for these to boost sales of gyroscopes, accelerometers, and high-pressure sensors — and MEMS pressure sensor shipments will more than triple from 2009 to 2014, to 137.9M units.

Another growth area in auto MEMS is powertrain sensors, used in pressure and flow measurements in engine combustion control — also being increasingly driven by emission reduction regulations. Alongside established markets for managing manifold & barometric air pressure, emerging systems that turn the engine off/on at junctions will surge from about 1M units today to 13M units in 2014, iSuppli says.

China’s MEMS emergence

By region, North America makes up the largest share of MEMS consumption (40% in 2010), followed by Europe (about 33%), followed by China, and Japan and "Rest of World (including South Korea, Russia, and Latin America). But going forward, China is "the new motor of the automotive market,"iSuppli proclaims — it had 11% consumption of total MEMS shipments in 2009 and should surpass Japan and ROW by 2014. Even with lower electronics content than more mature markets, and without mandates today, about 10% of cars in China feature electronic stability control and two satellite airbags are common in many midrange autos (vs. 3-4 in the US and EU vehicles). In larger cities such as Beijing and Shanghai, powertrain sensors such as manifold absolute pressure sensors to feed information to internal combustion engines’ electronic control system.

With more car shipments headed to China, suppliers with a footprint in that region (e.g. Bosch, Continental) managed to avoid the worst of the recession. And local suppliers seeking to get into the game will likely stick with "less complicated" pressure sensors instead of inertial sensors (e.g. accelerometers and gyroscopes), "posing less of a threat to the existing MEMS supply chain," iSuppli says.

Click to EnlargePete Singer
Editor-in-Chief

Increasingly, we are hearing about consolidation in the semiconductor industry. Bill McClean of IC Insights has perhaps pointed this out most clearly, noting that the top 10 capacity leaders held about 60% of the total 2009 worldwide IC capacity, and the top 15% held a 71% share. Looking at only 300mm capacity, the consolidation is even more obvious: the top 10 leaders held an imposing 84% share with the top 15 companies holding all but 8% of the world’s 300mm IC fabrication capacity, according to McClean.

This is good news for those15 companies, but not such good news for consumers. "With so few companies representing such a large share of the leading-edge IC production, IC Insights’ long-held belief that the companies left standing after the ‘shakeout’ will reap the rewards of increased profitability, is now coming true. However, for the IC user, this also means that IC average selling prices are unlikely to decline as they have in the past. The pricing pendulum is now swinging in favor of the IC producers and it may not be swinging back for a long time," McClean said.

With a greater percentage of spending coming from a shrinking number of companies, semiconductor industry capital spending is becoming more concentrated. Because of this trend, IC industry capacity is also becoming more "concentrated." As tight as overall IC capacity has been in the IC industry since the first half of 2009, 300mm capacity has been even tighter, McClean notes. In 1Q10, 300mm capacity utilization was 97%, which is essentially indicate a "sold out" situation.

With most capital spending in 2010 going toward developing and moving to finer feature sizes (with little going toward adding wafer starts), the availability — or lack of availability — of IC devices will become a major factor in the second half of this year. Ion fact, IC Insights believes that one limiting factor to 30% or greater IC market growth in 2010 might be the lack of IC fabrication capacity needed to support such growth.

Also expect higher average selling prices (ASPs) for almost all types of ICs. "Given that almost all DRAM, NAND flash memory, and microprocessors are produced using 300mm wafers, it follows that each of these segments registered increasing average selling prices throughout 2009 and into 2010," McClean said. "IC Insights believes that buyers of these IC devices should be prepared for similar ASP trends for these products in the second half of this year."

While all of this is going on – the consolidation, sold-out capacity and higher selling prices for mainstream ICs – I keep thinking applications that fall outside of the mainstream. Where are those apps that require different types of equipment and materials technology and different process technology? Do they exist? How big of a market do they represent? Might they be a way for suppliers to diversify outside of the mainstream 15-companies-now-controlling-all-the-spending semiconductor industry?

My list of applications that offer a potential way to diversify for companies offering thin film deposition and patterning capabilities include: MEMS, hard disks and read-write heads, flat panel displays, optical disks, photovoltaics, LEDs, CMOS image sensors, and superconductors. The MEMS market is perhaps the most intriguing of these since it encompasses so many different types of devices, from microphones to sensors to micro-fluidic labs on a chip.

But perhaps the application with most explosive potential is intelligent medicine. Imagine taking a digestable "smart" pill that is in essence an integrated circuit. As that pill works it’s way through your body, it monitors the status of all kinds of functions and reports the results to your iphone or similar device. That kind of technology is, in fact, already here. Proteus BioBed in Redwood City, CA has developed what it call the Raisin™ System (there was an Apple on your desk, a Blackberry on your belt and now a raisin inside, quips Proteus CEO Andrew Thompson). The initial application of the Raisin System is for the treatment of patients with heart failure. The system senses and records the precise time a patient takes one or more microchip-enabled drugs, providing physiologic feedback and decision-support to the patient, caregivers and clinicians, thus facilitating a cost-effective pathway to improved patient outcomes through personalized medicine.

How cool is that!? It doesn’t take much imagination to envision all kinds of medical apps with new and unique requirements that could leverage traditional IC manufacturing technology. This market could be huge, given the aging baby boomer population and over-loaded healthcare system. Consolidation? Who cares? Bring on the new apps!

More Solid State Technology Current Issue Articles
More Solid State Technology Archives Issue Articles

Click to Enlargeby Neha Choksi, contributing editor

June 30, 2010 – Proteomics is an important field for the pursuit of drug discovery, vaccine development, and drug manufacturing. However, prevalent methods require fluorescent labeling and 2D evaluation through electrophoresis or mass spectrometry. Hus Tigli, CEO of Silicon Kinetics, shared an alternative approach that leverages nanotechnology, at the San Francisco Bay Area IEEE Nanotechnology Sixth Annual Symposium: "Nanotechnology: State of the art and applications" (May 18-19 in Santa Clara, CA).

The company’s SKi biosensor consists of nanoporous silicon chips embedded in probes or flow cells, technology developed over approximately three years. By starting with n-type silicon and employing wet etch techniques, the resulting sensor area can achieve 70%-90% porosity with an average pore diameter of 80nm. This design was chosen to allow molecules to enter and exit as needed. Thickness, diameter, and etch uniformity are carefully controlled through statistical process control methods. The nanopores are important because they provide a large surface area for binding the first molecule of interest to create the desired surface chemistry. This functionalized sensor can then be used to detect the biomolecule of interest present in the solution that is being analyzed.

These biosensors are used in the company’s SKi Pro nanoporous optical interferometry (NPOI) platform. Depending on what molecule attaches to the first molecule of interest, the effective refractive index changes in the nanopore, leading to an optical path difference. Utilizing the platform’s interferogram, software is utilized to study the resultant pattern changes which form through constructive and destructive interference. The rate of change over time can be studied to extract information regarding the amount of molecule of interest that has bound to the biosensor.

Click to Enlarge

Because the nanopores are at a depth of 1.5-2nm into the wafer, the light used in the system passes through multiple layers of biomaterial, yielding a high throughput 3D approach. This "label free" method does not require sample preparation and does not interfere with the structure of the molecule of interest. The sensor is reusable 10-50×, and the company’s platform has automated cleaning using a solvent solution.

The company has taken the need for flexibility into account with the design of their system. "In addition to being highly sensitive, the NanoPore Optical Interferometry (NPOI) platform uniquely allows dual format experiments on the same instrument, either high-throughput ranking of interactions as well as detailed kinetic analysis," according to Tigli. Either way, the company aims to have an impact in the fields of drug discovery and disease research.

Neha K. Choksi is an independent consultant based in Mountain View, CA. She has worked for a variety of MEMS companies including as director of product engineering at Silicon Microstructures and as a consultant focusing on commercialization and high-volume production of MEMS devices. E-mail: Choksi [at] gmail.

by Michael A. Fury, Techcet Group

June 14, 2010 – Day 2 of IITC 2010 started with a session on back-end memory and MEMS, with the emphasis on non-volatile candidates for storage-class memory. Greg Atwood of Numonyx described their 128Mb PCM product demo, which has 3 copper levels and has passed 108 memory cycles so far; volume production is expected to begin with a 1Gb device later this year. Cross-Point Memory, CMOx from Unity Semiconductor was described by Rene Meyer as a transistor-less four layer device with metal top and bottom electrodes, a "connective metal oxide" (a sputtered perovskite) and a sputtered high-k dielectric. The device provides analog storage function by field-induced migration of oxygen ions. Meyer asserts that NAND flash cannot be scaled to 10nm, as there would be only 4 electrons available for each state transition, whereas CMOx transitions would still involve 300 ions at that scale.

Click to EnlargeShuntaro Machida of Hitachi presented a BEOL-integrated MEMS pressure sensor that eliminated traditional MEMS packaging issues and demonstrated an altitude sensitivity of 1m. The same design principles have been used to fabricate an ultrasonic transducer that is intended for medical imaging devices. Vikram Joshi of Cavendish Kinetics showed a BEOL-integrated MEMS mechanical switch that can be used to mimic logic functions with zero leakage current. With minor design variations, the same mechanism can be used for NV RAM or sensor devices.

The reliability and characterization session included a TEM method for characterizing Cu nanocrystal defects to 5nm, low-k damage using monoenergetic positron beams, and a model for the impact of LER on TDDB at 20nm half-pitch. F. Chen at IBM showed a 100× improvement in electromigration in 32nm Cu lines using a selective electroless CoWP metal cap with no TDDB or low-k leakage degradation.

The poster session included fourteen offerings that spanned the breadth of interconnect topics. Rob Rhoades of Entrepix needed to develop a Pt CMP process in order to implement a via-first Pt through-silicon vias (TSV) MEMS integration scheme compatible with a 700°C PZT anneal. Aleksandr Biberman of Columbia University stepped across the divide into optical interconnects, modeling the power performance of silicon photonic modulators for a full-scale on-chip optical interconnect network. The results show a critical deviation from the conventional wisdom of photonic networks-on-chip design, indicating that throughput optimization is not simply achieved with increased modulation rates, but requires a balance between physical and system-level performance metrics.

SEE ALSO:
IITC Day 1: 3D/TSV, Cu barrier films, critical collaboration
IITC Day 0: Short course reflects interconnects’ maturity

Six papers populated the second session on packaging, 3D and TSV integration. Yu Yang at IMEC showed the impact of placing Cu-filled TSV structures as close as 1.1&MU;m to the active regions of PMOS and NMOS devices. The good news is that no degradation of device performance was observed, even after 1000 thermal cycles between -55°C and 125°C. S.Q. Sam Gu at Qualcomm reviewed the integration challenges of 3D TSV from the perspective of a fabless supply chain manager. As might be expected, the conclusion is that progress is being made, but there are improvements yet to be made on process, yield, reliability and cost.

The term "propeller head" has long been applied to techno-geeks of all sorts, but Chris Case, former CTO at BOC, has taken it to a new level. Chris has held his small craft pilot license for several years now, and has recently begun his certification to pilot helicopters. It’s not known at this time if Chris will be able to wear his propeller-head beanie with his radio headset.

Security and confidentiality have always been issues in technical meetings of this sort, with engineers and scientists negotiating with managers regarding the details that can be released in external presentations. However, the semiconductor industry has seldom raised things to the level of the Healthsource Global meeting that took place in the adjacent conference hall, which had two armed guards posted at the door. It makes me appreciate that we all get along.


Michael A. Fury, Ph.D, is senior technology analyst at Techcet Group, LLC, P.O. Box 29, Del Mar, CA 92014; e-mail [email protected].