Category Archives: MEMS

July 13, 2012 — Terry Brewer, one of the newest members of SEMI’s North American advisory board, talks about semiconductor industry consolidation, as well as new technologies and materials in the industry. He shares how SEMI is reacting to this evolution.

Brewer, president and founder of Brewer Science, speaks with Pete Singer, chief editor, Solid State Technology, at SEMICON West 2012 in San Francisco. See all the news and interviews from SEMICON West here!

 

July 13, 2012 — At SEMICON West 2012, this week in San Francisco, CA, the working groups of the International Technology Roadmap for Semiconductors (ITRS) held 3 sessions (TechXPOTs) outlining 2012 updates to the roadmap. Check out the updates to the front-end, scaling roadmap working groups here.

The ITRS undergoes major revisions on odd-numbered years. 2012 being an even-numbered year, very little change occurred to the Overall Roadmap Technology Characteristics (ORTC). However, within the working groups, some updates were worth noting.

Also read: 2011 ITRS: DRAM, 3D Flash, MEMS, nano-scaling steal the show

First, the changes to the ORTC, presented at the TechXPOT by Bob Doering. Of interest were changes focused directly or indirectly on 450mm. ITRS has moved the forecast production start date to 2015-2016. The definition of

July 13, 2012 — SEMICON West, this week in San Francisco, CA, hosted 3 TechXPOT sessions on the International Technology Roadmap for Semiconductors (ITRS, http://www.itrs.net/) 2012 update. At the back-end technologies session, roadmapping for More than Moore was addressed as both a philosophical and technical matter.

Also read: 2011 ITRS: DRAM, 3D Flash, MEMS, nano-scaling steal the show

Introducing the back-end-focused working group presentations, Bob Doering, representing the Overall Roadmap Technology Characteristics (ORTC), said that the Roadmap is not just about scaling anymore. Patrick Cogez, presenting More than Moore, picked up this thread, saying that the long-time focus on semiconductor scaling now has a partner, diversification, in More than Moore process technologies. More than Moore — encompassing advanced wafer-level and 3D packaging, micro electro mechanical systems (MEMS), and related microelectronics technologies — are harder to roadmap than CMOS technologies. Scaling semiconductor nodes has always offered the combined benefits of faster, cheaper, smaller, lower-power chips (Moore

July 11, 2012 – Business Wire — The MiQro Innovation Collaborative Centre (C2MI) is improving its state-of-the-art micro electro mechanical system (MEMS) facility with Eyelit Manufacturing software to support R&D and the commercial activities of sponsor companies. C2MI is also installing a suite of new wafer processing tools from SPTS Technologies.

The C2MI is an international center for the development of advanced microsystems, focusing on 200mm MEMS and 3D wafer-level semiconductor packaging (WLP).

Eyelit’s software will be used to help produce prototypes and advance the commercialization of devices in fields such as information and communication technologies, automotive, aerospace, environment, and healthcare. C2MI will take advantage of Eyelit’s data partitioning capability to protect the intellectual property of C2MI members in the collaborative environment. Eyelit’s modular system offers MES, SPC, and Asset Management with fast and cost-effective deployment. Its user interface supports the concurrent display of multiple languages. The Web-based software does not require third-party software to access production data.

Eyelit’s products have recently been deployed at other research centers such as SEMATECH and CEA-Leti.

C2MI also added multiple SPTS Versalis fxP (etch, chemical vapor deposition [CVD]) and AVP thermal processing systems for through silicon via (TSV), MEMS and bulk acoustic wave (BAW) manufacturing.

The Versalis fxP, a cluster system that provides an integrated solution with multiple process steps, is fitted with Pegasus Rapier, APS, ICP and Isopod plasma etch modules together with an APM unit for plasma-enhanced CVD (PECVD). The system is used in multiple process steps including deep silicon etching, patterning of aluminum-based metals for interconnect, and the formation of aluminum nitride (AlN) bulk acoustic wave resonators in advanced packaging and MEMS applications.

The AVP vertical furnaces provide a wide variety of processes specifically developed for productive, low COO MEMS applications. At C2MI, the systems will be used to deposit thick doped polysilicon, stress-controlled Silicon Nitride and TEOS-based oxide films as well as thermal oxidation processes. In addition, SPTS will collaborate with partners at C2MI on the development of next-generation thermal technology for applications in MEMS and advanced packaging.

SPTS Technologies (a Bridgepoint portfolio company) designs, manufactures, sells, and supports etch, PVD, CVD and thermal wafer processing solutions for the MEMS, advanced packaging, LEDs, high speed RF on GaAs, and power management device markets. With manufacturing facilities in Newport, Wales, Allentown, Pennsylvania, and San Jose, California, the company operates across 19 countries in Europe, North America and Asia-Pacific. For more information about SPTS Technologies, please visit www.spts.com.

Eyelit Inc. makes manufacturing execution and quality management systems (MES and QMS) for the aerospace & defense, electronics, semiconductor, and solar industries. Learn more at www.eyelit.com.

The MiQro Innovation Collaborative Center (C2MI) is an international reference in the fields of advanced packaging and microsystems. The C2MI is a centre of excellence for commercialization and research (CECR) whose role is to help produce market-driven prototypes in the fields of applications of the information and communication technologies, automobile, aerospace as well as environment and health to accelerate their commercialization. The establishment of C2MI was made possible by contributions from Industry Canada, the ministère du Développement économique, de l’Innovation et de l’Exportation du Québec, Université de Sherbrooke, industrial partners and the Town of Bromont. Visit www.c2mi.ca.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!

July 10, 2012 – BUSINESS WIRE — Semiconductor manufacturing equipment supplier SUSS MicroTec uncrated the third generation of the ACS200 Coating and Developing Platform, developed from the company’s ACS200Plus and Gamma platforms.

The ACS200 Gen3 offers the capability to combine four wet process modules with up to 19 plates for high-volume production. State of the art offerings include open bowl spin coating and proprietary GYRSET closed cover coating technology.

The configuration flexibility of modules and technologies suits production of advanced semiconductor packages, micro electro mechanical systems (MEMS) and light-emitting diodes (LEDs). The tool can also be used to bridge the gap between research & development (R&D) and high-volume manufacturing (HVM).

SUSS MicroTec, listed on TecDAX of Deutsche Boerse AG, is a leading supplier of equipment and process solutions for microstructuring in the semiconductor industry and related markets. For more information, please visit http://www.suss.com.

July 10, 2012 — InvenSense, Inc. (NYSE:INVN), MEMS-based sensor maker, introduced its second-generation MPU-6500 6-axis MotionTracking device for smartphones, tablets, wearable sensors, and other consumer markets.

The MPU-6500’s 3 x 3 x 0.9mm QFN package is the world’s smallest for a 6-axis MotionTracking device, according to InvenSense. The single-chip design combines a micro electro mechanical system (MEMS) 3-axis gyroscope and 3-axis accelerometer with an onboard Digital Motion Processor (DMP). The MPU-6500 MotionTracking device offers nearly 60% lower power (1.8V operation) and a 45% smaller package than conventional designs. It consumes 6.1mW of power in full operating mode. Gyroscope performance is ±5dps zero-rate-output and 0.01dps/√Hz of noise; accelerometer specifications include a typical offset of ±60mg, 250µg/√Hz of noise, and 18µA of current in low-power mode.

The combo sensor enables pedestrian navigation, context-aware advertising, and other location-based services, along with supporting the specifications for emerging wearable sensor applications such as remote health monitoring, sports and fitness tracking, and other consumer applications. The MPU-6500 software drivers are fully compliant with Google’s latest Android 4.1 Jelly Bean release, and support new low-power DMP capabilities that offload the host processor to reduce power consumption and simplify application development.

Turnkey combo sensors can accelerate time to market and simplify design/software programming of devices, as well as inherent size and power reductions, simplified product qualification and inventory management, and precise sensor alignment and calibration. Learn more about sensor fusion in You make MEMS. Should you make sensor fusion software?

The MPU-6500 is sampling now to selected customers with mass production slated for Q4 2012.

InvenSense Inc. (NYSE:INVN) provides MEMS-based motion sensors for consumer electronic devices. More information can be found at www.invensense.com.

Check out Solid State Technology’s coverage of SEMICON West 2012!

July 6, 2012 — We at Solid State Technology have compiled the best conference reports so far this year, in the lead up to SEMICON West 2012, next week in San Francisco. Be sure to bookmark our SEMICON West 2012 Channel for all the info from that show.

Focus on lithography

@ EUVL workshop: Focus on source power, timing

Dr. Vivek Bakshi, president of EUV Litho Inc., reports on the 2012 EUVL Workshop (June 4-8 in Maui, HI), where attendees shared their latest technology developments and discussed ways to address the challenges of EUVL insertion into HVM.

@ SPIE: The spring of EUVL

Dr. Vivek Bakshi, president of EUV Litho, Inc., reports on the SPIE Advanced Lithography conference. He says that this year even the loudest criticism of EUVL was not about “if” but “when,” and the predicted range of insertion for EUVL in high volume manufacturing (HVM) is now 2013-15.

@ SPIE: Intel’s, TSMC’s tool roadmap takeaways

After attending SPIE Advanced Lithography, Barclays Capital came away with a lower lithography tool shipments forecast, more hope for EUV lithography, and expectations of a litho buying spree at Intel.

@ SPIE: eBeam Initiative roadmap

The eBeam Initiative, a forum for new IC manufacturing approaches based on electron beam (e-beam) lithography, will unveil its latest roadmap at the SPIE Advanced Lithography Symposium.

 

Focus on yields/productivity

@ ISMI Manufacturing Week: Productivity challenges identified

Semiconductor manufacturers identified key factory productivity challenges that need to be addressed and shared effective solutions they will need to stay leading-edge and competitive amid turbulent industry transitions during the recent ISMI Manufacturing Week.

@ The ConFab: Legacy semiconductor fab issues

Bill Ross of ISMI and Joanne Itow of Semico report on the ConFab 2012 Executive Roundtable. Older production facilities face equipment obsolescence; skills obsolescence; scarce availability of parts, software, and support; and equipment capability extension and tool re-use.

@ Lightfair: MOCVD capex disobeys fab utilization rules

Barclays Capital analysts attended Lightfair International and gleaned several trends in LEDs and OLEDs for lighting, including an interesting phenomenon around MOCVD utilization rates and new orders.

@ ISS 2012: Profitability threatened

Industry leaders at the 35th annual SEMI Industry Strategy Symposium (ISS) described a perfect storm of cost, complexity and uncertainty as the industry struggles with process engineering complexity at sub-28nm nodes, hazy EUV installation schedules, 3D-IC challenges, and planning for a 450mm wafer transition, reports SEMI.

 

Focus on packaging

@ IMAPS Device Packaging: Vias and more vias

IMAPS Device Packaging’s papers were recently released, and blogger Dr. Phil Garrou shares highlights from SSEC, Asahi Glass, Hitachi Chemical, and others. He also takes a look at Fujitsu’s low temp Cu-Cu bonding technology.

@ The ConFab: A 2.5D/3D interconnect supply chain or ecosystem?

With many advanced packaging processes taking place on the semiconductor wafer, the traditional supply chain of front-end fab at the foundry and back-end fab at the packaging and test house is falling apart. Amkor, GLOBALFOUNDRIES, ASE, and Xilinx’s presenters offer ideas.

@ IITC: From TSV to back-end memory work

The 15th IITC took place in San Jose, CA. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back-end memory, blogger Michael Fury reports.

@ ECTC: 3D integration and TSVs

A main focus of this year’s Electronic Components and Technology Conference (ECTC), held in San Diego, was 3D integration and TSVs, blogs Pete Singer, editor-in-chief.

 

Focus on emerging technologies

@ MEMS Executive Congress Europe: MEMS everywhere

Karen Lightman, the Managing Director of the MEMS Industry Group, blogs from the MEMS Executive Congress Europe in Zurich, Switzerland.

@ MRS Spring: Organic electronics

Fury reports from the MRS Spring 2012 meeting in San Francisco. Highlights: electronic skin, energy storage with nanowires, printable inks, gas sensing, inkjet printing, semiconductor polymers for organic devices, CNTs, OFETs, touch screen fabrics, and the coffee breaks.

@ SensorsCon: MEMS, networks, and camera pills

SensorsCon 2012 was held March 21 at the Santa Clara TechMart Center, in conjunction with the annual meeting of the ISQED. This is the first such meeting focusing on sensor technology, with about 60 attendees. As a design conference, the focus was more on system design and architecture, reports Fury.

@ Lightfair China: Low LED prices, subsidy’s role, and MOCVD update

Guangzhou (China) Lightfair Conference is the biggest lighting fair in Asia. Citi analyst Timothy Arcuri notes trends in LED manufacturing and pricing ahead of China’s subsidy program going into effect.

July 2, 2012 – Marketwire — Semiconductor maker STMicroelectronics (NYSE:STM) partnered with China’s Harbin Institute of Technology (HIT) to open a joint laboratory researching electronics.

This is HIT’s first joint laboratory built on a project-based learning mode, to boost innovation by students, said Professor XU Dianguo, Assistant Principal of HIT. The laboratory is located at the Electric and Electronic Experimental Teaching Center of HIT, with an aim to support efforts in the study, research and development of innovative and emerging applications of electronics, such as in medical, power management, and multimedia convergence. At the initial stage, the joint lab will focus on smart-sensor applications. STMicroelectronics will donate its advanced iNEMO development tools supported with an iNEMO software platform.

STMicroelectronics will provide its various products, IC samples, and technical documentation, as well as regular training to facilitate students in the design and development of innovative electronics projects. HIT will provide general-purpose facilities and management of the joint laboratory.

Starting with the micro electro mechanical systems (MEMS) smart sensors applications development, the lab will evolve to serve fields such as bio-medicine, energy saving and environment protecting, and user interfaces,” said Solomon NG, STMicroelectronics Regional Director, Strategic Business Development & Design Center, Greater China and South Asia Region.

“MEMS is a high-growth industry and high-quality engineers are very much in demand," said Patrick Boulaud, STMicroelectronics Regional Vice President, Analog, MEMS and Sensors, Greater China and South Asia Region, adding that the lab will help nurture the next generation of engineers and create new reference designs for the industry.

ST’s iNEMO is an evaluation and development tool that offers up to 10 degrees of freedom (DoF), combining 3-axis linear acceleration, 3-axis angular rate and 3-axis motion sensing through a magnetic field, together with barometric/altitude readings, managed by an STM32 32-bit microcontroller.

Also read: Solutions for MEMS sensor fusion

Harbin Institute of Technology (HIT) is designated a national key university of China by the Ministry of Higher Education.

ST provides semiconductors serving customers across the spectrum of sense and power technologies and multimedia convergence applications. Further information on ST can be found at www.st.com.

Visit the MEMS Channel of Solid State Technology, and subscribe to our MEMS Direct e-newsletter!