Category Archives: Online Magazines

Bridgelux, a developer and manufacturer of LED lighting technologies and solutions, today unveiled the Vero LED array, a new lighting platform that simplifies design integration and manufacturing and gives designers a more flexible LED lighting solution.

The Vero next generation packaged array technology offers new advancements in design flexibility, ease of use, and energy efficiency today while also defining a platform for future opportunities to integrate smart sensors and wireless communication technology for smart building control systems and other innovative applications. 

Vero advancements revolve around three primary innovations: a new, higher flux density LED array, an increase in lumens per watt by up to 20% over existing Bridgelux LED arrays and a simplified assembly process that streamlines manufacturing and improves overall system reliability. Taken as a whole, the Vero platform will allow manufacturers to dramatically reduce electronic and optical component inventories while broad input current ranges allow designers to optimize products on efficiency, cost, and light output. 

Vero Platform Technical Details

The Vero platform provides complete application coverage from four form factors, delivering the light output and color temperatures required for retail, hospitality, commercial, industrial, residential and outdoor lighting applications. In their official release, Bridgelux said the arrays will initially be available with performance ranging from 800 lumens in warm white (3000K) up to 20,000 lumens in cool white (5000K) with multiple CCT and CRI options, including the 97 CRI Decor product option.

With significant advancements in luminaire design flexibility, the Vero product offers a light emitting surface (LES) area with higher flux densities than earlier Bridgelux array designs.  The Vero LED array has been engineered to be driven reliably at much higher drive currents than previous offerings to further increase performance and reduce cost. These new features combine to allow luminaire designers to develop smaller, sleeker designs and to deliver narrow beam angles for spot and track applications facilitating high contrast ratio lighting designs.

The Vero arrays are compatible with a wide variety of standard drivers and optical components, providing manufacturers with greater flexibility and more options than normal, shortening product development times, lowering inventory requirements and reducing costs. The new Vero array series will also provide luminaire manufactures enhanced interface and connection options.  The electrical connection may be established via user friendly solder pads or by using a solderless on-board connector provided by Molex.

The Vero platform is currently being evaluated by Bridgelux customers and will be broadly commercially available in the first quarter of 2013.

 

With the introduction of the Galaxy S4, Samsung Electronics continues to lead the market in the adoption of pressure sensors in smartphones, paving the way for massive growth in the market for these devices in the coming years.

Global shipments of microelectromechanical system (MEMS) pressure sensors in cellphones are set to rise to 681 million units in 2016, up more than eightfold from 82 million in 2012, according to the IHS iSuppli MEMS & Sensors Service at information and analytics provider IHS (NYSE: IHS). Shipments this year are expected to double to 162 million units, as presented in the attached figure, primarily due to Samsung’s usage of pressure sensors in the Galaxy S4 and other smartphone models.

“Samsung is the only major original equipment manufacturer (OEM) now using pressure sensors in all its flagship smartphone models,” said Jérémie Bouchaud, director and senior principal analyst for MEMS and sensors at IHS. “The company appears to be slightly ahead of its time in its adoption of pressure sensors, even though the most compelling application—indoor navigation—is still not ready for deployment. However, Samsung seems to want to anticipate the start of this market and get a jump on the competition for pressure sensors. The pressure device represents just one component among a wealth of different sensors used in the S4.”

Pressure’s rising

Besides Samsung, few other OEMs have been using pressure sensors in smartphones. The only other smartphone OEMs to use pressure sensors in their products are Sony Mobile in a couple of models in 2012, and a few Chinese vendors, like Xiaomi.

Apple Inc., which pioneered the use of MEMS sensors in smartphones, does not employ pressure sensors at the moment in the iPhone. However, IHS expects Apple will start them in 2014, which will contribute to another doubling of the market in 2014 to 325 million units.

Applying pressure

Although pressure sensors aren’t very useful currently in smartphones, they hold strong potential for the future.

The most interesting application now is the fast Global Positioning System (GPS) lock, wherein the GPS chipset can lock on to a satellite signal and calculate positions more quickly by using the pressure sensor to determine the smartphone’s altitude.

However, the most exciting use for pressure sensors in the future will be indoor navigation, an area with massive potential growth in retail and travel applications. Pressure sensors will provide the floor accuracy required to determine which level a user is on within a structure.

While the ecosystem is not yet fully in place for indoor location/navigation, IHS anticipates this market will reach a breakthrough in growth during the next 12 to 18 months.

By this time, Samsung will have a considerable lead over Apple and other competitors in the installed base of pressure sensors in smartphones.

Samsung takes lead in smartphone MEMS sensors

Although Apple pioneered the usage of MEMS sensors in smartphones, and was the top consumer of these devices for many years, Samsung in 2012 took the lead from Apple for the first time. With Samsung expected to maintain hegemony in smartphone shipments in 2013 and the company loading up on the number of MEMS and other sensors in each smartphone that it ships, its lead in this area is is likely to continue to grow.

Given its emphasis on detecting and adapting to consumer lifestyles, the Galaxy S4 integrates a wealth of different sensors, including the accelerometer, RGB light, geomagnetic, proximity, gyroscope, barometer, gesture and even temperature and humidity varieties.

Sensor suppliers

While IHS has not yet conducted a physical teardown of the Galaxy S4, the IHS iSuppli MEMS and Sensors Service is able to anticipate the likely suppliers of these devices for the smartphone.

The pressure sensor in the S4 is made either by STMicroelectronics, as it was in the Galaxy S III; or by Bosch, like what was used in the Galaxy Note 1 and 2. Both companies are the only mass producers of these devices today for handsets.

And just as in the Samsung Galaxy S III, STMicroelectronics and yet another supplier, InvenSense, are expected to share the supply of the S4’s inertial measurement unit (IMU), which combines the accelerometer and gyroscope.

Meanwhile, the S4’s compass could be supplied by any one of three entities: by AKM—the same as the Galaxy S III; or by Yamaha—as was used in a previous member of the Galaxy smartphone line; or by Alps—which is an up-and-coming manufacturer in this area.

Maximum RGB

IHS expects that Samsung will continue to use an RGB sensor in the S4, as part of a combo device that aggregates RGB, proximity, and IR LED emitter, as it did in the Galaxy Note 2 and the Samsung S III. Samsung was the only user of such combo sensors in smartphones in 2012.

If the RGB sensor is installed on the side of the S4 display, it will be used to sense the color temperature of the room where it’s located, and adapt the contrast and colors on the display to enhance the viewing experience. Such RGB sensors are useful for high-end displays. Since the Galaxy S4 is expected to have full high-definition display—unlike the S3—the added value of having an RGB sensor might be more obvious and noticeable in the S4.

The RGB sensor also could be installed on the back the Galaxy S4 in conjunction with the camera module. This can help in taking better pictures by correcting the white balance.

Capella Microsystems is likely to be the RGB supplier, just as in the Galaxy S III. Other potential suppliers are ams-TAOS, Maxim and Hamamatsu.

Jim Feldhan

The semiconductor industry has broken the $300 billion mark. One of the major driving forces in the foundry and overall semiconductor market is the end applications. Solid State Technology is excited to have Jim Feldhan, President of Semico Research, present data and analysis from Semico’s MAP model, which provides insight into semiconductor revenues, units and wafer demand by computing, communications and consumer end markets. The data indicates that all the growth is not necessarily occurring in the advanced technology high performance processor or memory arenas.

“Innovation has created specialized applications leading to growth in product segments such as power management, MEMs sensors and RF markets,” Feldhan writes in his abstract.

Jim will address the changes that are occurring and what is needed to support future growth on June 24, 2013 at The ConFab in Las Vegas.

Jim Feldhan founded Semico Research in 1994. A 20-year veteran of the semiconductor industry, he brings his management, forecasting and modeling expertise to Semico, along with a reputation of quality research. Feldhan designed and developed the research methodologies and report structures, which are the basis for Semico’s Custom Research and Portfolio Services. Feldhan also develops Semico’s overall economic outlook as well as performing various semiconductor consulting and forecasting. With a focus on quality, Semico Research has grown to the largest semiconductor-focused consulting and research firm.

For learn more or to register for The ConFab, visit The ConFab section of our website.

Brion Technologies, a division of ASML, announced a major milestone today in its partnership with GLOBALFOUNDRIES. The companies are collaborating to deliver high-volume computational lithography capabilities for 28 nm and 20 nm tapeouts, while also accelerating the development of future nodes, including extreme ultraviolet (EUV) lithography.

In integrated circuits design, tape-out or tapeout is the final part of the design cycle before a photomask is manufactured. In its current practice (also known as ‘mask data preparation’ or MDP) chip makers perform checks and make modifications to the mask design specific to the manufacturing process. Optical proximity correction (OPC) is the most common example, which corrects for the diffraction and interference behavior of light when printing the sub-micron scale features of modern integrated circuit designs.

The process window is very challenging for leading edge technologies and substantially impacts yield, time to market and ultimately profitability. ASML’s holistic lithography approach enables both process window enhancement and process window control from design to mask tapeout to chip manufacturing by leveraging the computational model accuracy that comes from tight integration with the ASML scanners including FlexRayTM and FlexWaveTM.

GLOBALFOUNDRIES is working with Brion to ensure that their foundry customers have the best possible and most cost effective semiconductor manufacturing capability available. Critical to achieving this is the use of Tachyon FlexTM, which is the platform architecture that allows the Tachyon applications to run on a customer’s existing compute cluster, distributed across many thousands of CPU cores. Tachyon Flex has been demonstrated to have efficiency (or scalability) significantly better than other industry competitors, resulting in substantial time and cost savings for large tapeouts.

"At 28nm and below it is necessary to explore and realize every possible process window improvement to achieve a manufacturable patterning solution," said Chris Spence, Senior Fellow of GLOBALFOUNDRIES. "We have found that Brion’s OPC and Computational Lithography solutions enable us to achieve this goal and ensure the best possible yield for GLOBALFOUNDRIES’ customers."

Jim Koonmen, general manager of Brion Technologies said, "We look forward to this important expansion of our long-standing relationship with GLOBALFOUNDRIES, and to the successful use by GLOBALFOUNDRIES of these leading edge technologies at the N28, N20, N14 and future nodes."

surface metrology

PI miCos announced the release of a new 2-axis precision linear translation stage. The new MCS XY precision linear stage was designed for industrial precision motion control and surface metrology applications and combines robustness and high accuracy.

This precision motorized XY positioner handles loads of up to 20 kg and offers resolution down to 0.005 µm over a travel range of four inches, based on an interferometric linear encoder. An option with 0.001 µm resolution is also available. A precision machined base and high accuracy bearings provide straightness/flatness of two microns. Despite the high accuracy, the translation stage was designed for industrial robustness. Transmitted light applications benefit from the large clear aperture of 150x150mm.

Very Wide Velocity Range

The stage can achieve velocities from as low as one µm/second all the up to 200 mm/second (eight inches/second). Several PI miCos motion controllers are available.

Motor and Sensor Options

Several motor and sensor options are offered. For applications where closed-loop operation is not required, lower-cost open-loop stepper motors are recommended. Several closed-loop versions are available for higher performance demands: stepper motors, DC servo motors and direct-drive electromagnetic noncontact linear motors.

For high speed operation the direct-drive linear motors are recommended. Extremely smooth motion, with constant velocity at the low end down to single digit microns/second is achieved with PI miCos stepper motors SMC Hydra motion controllers.

Multi-Axis Options

The MCS XY precision linear translation stage can be combined with linear vertical positioners, rotary positioners and goniometers from PI miCos.

Vacuum Positioners

PI miCos specializes in vacuum compatible positioning systems from 10-3 to 10-10 Torr. Basically all of our linear and rotary stages can also be ordered for vacuum use.

The 24th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2013) will be held May 13-16 in Saratoga Springs, New York. The conference will feature more than 85 presentations including peer-reviewed manuscripts covering critical process technologies and fab productivity, workshops, and tutorials. This year’s event features a panel discussion on the process and economic challenges of the move to 450mm and 15 technical sessions on advanced semiconductor manufacturing, as well as tutorials on computational lithography by Intel Corporation and 3D-ICs by GLOBALFOUNDRIES.

ASMC has been held annually for over two decades, where industry professionals come together to learn and share knowledge on new and “best practice” semiconductor manufacturing issues and concepts. The conference seeks to provide a valuable source of cost-effective, hands-on solutions to address real-world manufacturing challenges.

ASMC will also hold an interactive poster session and reception, to provide opportunities for networking between authors and conference attendees. During this session, participants can engage authors in in-depth discussion of a wide range of issues.   New this year is a co-located workshop on May 13 on manufacturing below the 10nm node.

 ASMC 2013 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT).  Corporate sponsors include: Applied Materials, ASML, ATMI, ChemTrace, CNW Courier Network, DAS, Edwards, KLA-Tencor, GLOBALFOUNDRIES, Marcy NanoCenter at SUNYIT, MSP, NY Loves Nanotech, and Valqua.

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains. SEMI maintains offices in Bangalore, Beijing, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C.

Wafers with a diameter of 450mm enable the micro-chip industry an increase in yield of up to 80%. This leads to an enormous increase in productivity. In order to control the product quality, these wafers receive a specific marking from the manufacturer.

Promptly at the start of the year, InnoLas Semiconductor GmbH has successfully installed a second 450mm system. As an optional bridge-tool variant the system can mark 450mm and 300mm wafers on either side. The system checks the result automatically and thus reduces the process operations significantly. According to requirements the customer can choose between vacuum handling and edge-grip handling. The latter transports the wafers especially carefully and cleanly.

The company places particular emphasis on in-house developments and products in the application of high-precision components. Together with the robust further development of proven engineering this takes care of the constant high quality standard in marking and handling processes, also for the new wafer sizes.

 “The marking and handling quality will be maintained at our high level for the new wafer sizes. To achieve this we use components developed in-house whenever the requirements are of high precision. These are otherwise not available in suitable quality as required by us and our customers,” Andreas Behr, general manager of the InnoLas Semiconductor GmbH, explains the consistently high standard achieved by his wafer marking systems.

450mm wafer laser marking system

power design challenges and new product

ON Semiconductor will showcase its latest power efficient product advances at APEC 2013, March 17 – 21, 2013, in Long Beach, California. The new devices are designed for boosting performance and simplifying the design of offline power supplies, AC lighting infrastructure and motor control systems.

Targeted for high efficiency, compact, switch-mode power supplies for power adaptors, the NCP1937is a quasi-resonant (QR) flyback controller device with power factor correction (PFC).  This is the first AC-DC device utilizing a hybrid digital core architecture enabling higher efficiency, increased flexibility and easier system design implementation.  The device incorporates all the features necessary for building a robust and compact PFC stage in combination with a QR flyback stage while minimizing the number of external components.  The quasi-resonant current-mode flyback stage features a proprietary valley-lockout circuitry, ensuring stable valley switching. It has wide voltage range spanning 9 volts (V) to 30 V, plus built-in over-voltage protection, and a skip mode operation which enables higher efficiency in light load conditions.   The NCP1937 will be demonstrated in an 85 watt (W) Notebook Adapter showcasing the industry’s leading <10 milliWatt (mW) no load standby power.

The NCP1255/NCP1254 is a fixed frequency PWM controller designed for applications requiring peak power capability. Offered in SOIC-8 and TSOP-6 packages, it operates with a fixed 65 kilohertz (kHz) switching frequency with the ability to scale the frequency up to 130 kHz based on output load requirements. In light load conditions with the power on the secondary side decreasing, the IC automatically folds back its switching frequency to a minimum level (26 kHz). The inclusion of adjustable over-power protection and adjustable brown-out protection functions make this device ideal for products requiring peak-power capabilities such as printers, and ultrabooks.  The NCP1255 will be demonstrated in a 15 W nominal 55 W peak power printer adapter.

For LED power supply applications, the high functional integration of theNCL30081 PWM controller IC minimizes the number of external components required for AC powered LED lighting designs. It operates in a quasi-resonant mode to enhance overall efficiency and provides precision regulation of the LED current from the primary side. Dispensing with the need for secondary side feedback control, as well as the associated optocoupler and biasing circuitry, the device is specifically intended for very compact space efficient designs utilizing either flyback or buck-boost topologies. It supports step dimming by monitoring the AC line and detecting when the line has been toggled on-off-on by the user to reduce the light intensity in five steps down to five percent dimming.  The NCL30081 will be demonstrating the step dimming functionality and compact system solution in a standard GU10 bulb design.

The NSIC2020 is a linear constant current regulator based on Self-Biased Transistor (SBT) technology. Because these devices do not require the specification of any external components, they can serve as either high or low side regulators, thus offering a streamlined solution that makes the development process more straight forward and maximizes design flexibility. This compact SMB packaged device is capable of regulating current over a wide voltage range (from 0 V to 120 V). Its negative temperature coefficient enables protection of LED emitters from thermal runaway at extreme voltages and currents.

Also on display at the ON Semiconductor booth will be the LV8702V a high efficiency stepper motor driver IC housed in a compact SSOP package and targeted at office automation equipment applications (multi-function printers, copiers, scanners, etc.). It is capable of dramatically lowering no-load power consumption and peak motor current, thereby maximizing energy efficiency in system designs. The company’s latest 1200 V IGBTs using trench field stop topologies and 40 ampere (A) current ratings will be also be featured. Offering both low on state voltage and minimal switching loss, the IGBT is well suited for motor drive control and other hard switching applications.

Dynamic changes to R&D processes, tools, technical challenges, and funding/business models will be highlighted at SEMICON West 2013, along with product displays of the latest semiconductor manufacturing technology, components and subsystems. SEMICON West, the Western Hemisphere’s largest micro- and nano-electronics exhibition and conference, will be held July 9-11 at the Moscone Center in San Francisco. The event will feature over 500 exhibitors, 50 hours of conference programs and more than 30,000 industry attendees.  Registration is now open at www.semiconwest.org without charge until May 15; registration fees apply starting May 16.

The semiconductor industry is simultaneously addressing the most complex challenges in its history: EUV lithography, new transistor architectures, stacked 3D-ICs, and 450mm wafer transition.  At the same time, adjacent markets in LED, MEMS and printed/flexible electronics are approaching technology crossroads — and new, post-CMOS alternatives to extend Moore’s Law are in the early stages of development.  Reconciling these multiple R&D demands are transforming old R&D strategies and accelerating new organizational models, skill set requirements, consortia options, partnership strategies, global sourcing tactics, and other approaches to managed innovation.

SEMICON West addresses these new R&D approaches through a variety of keynote presentations, panel discussions, technical presentations, and collaboration sessions including:

  • Silicon Innovation Forum: Organized by the industry’s leading strategic investment groups, this first-time forum provides a platform to connect new and emerging companies with strategic investors, venture capitalists and industry leaders.
  • Consortia Views:  For the first time anywhere, leaders from the industry’s top consortia — SEMATECH, imec and CEA-Leti — will share their views on collaborative R&D and the future of semiconductor technology.
  • Keynote Perspectives:  Ajit Manocha, CEO, GLOBALFOUNDRIES
  • Essential R&D Process Sessions:  Nano-Defect Detection and Lab-to-Fab Solutions
  • Latest Technology Updates:  Industry leaders will share the latest updates on lithography scaling and productivity, processing requirements for nonplanar transistors, 2.5/3D stacked ICs, and 450mm wafer processing.
  • ITRS Public Sessions:  The most critical technology innovation targets as identified the International Technology Roadmap for Semiconductors.
  • New Technology Sessions:  Learn about the latest R&D opportunities and challenges in LEDs, MEMS, printed/flexible electronics, silicon photonics, and more.

SEMICON West is the annual tradeshow for the micro- and nano-electronics manufacturing industries. Last year, over 30,000 attended the event and over 500 companies exhibited the latest innovations and solutions for advanced manufacturing.  For the sixth year, SEMICON West will be co-located with Intersolar North America, the leading solar technology conference and exhibition in the U.S. Every major semiconductor manufacturer, foundry, fabless company, equipment and materials supplier — plus leading companies in LEDs, MEMS, displays, printed/flexible electronics, PV, and other emerging technologies — attend SEMICON West.

SEMI is the global industry association serving the nano- and microelectronics manufacturing supply chains.  SEMI maintains offices in Beijing, Bengaluru, Berlin, Brussels, Grenoble, Hsinchu, Moscow, San Jose, Seoul, Shanghai, Singapore, Tokyo, and Washington, D.C. 

Mobile energy storage is critical for everything from the phones and computers we carry, to the soldiers and weapons that protect us – and even to the cars we drive. While lithium-ion (Li-ion) batteries have established themselves as the leading technology today, exotic ideas such as lithium-air, lithium-sulfur, solid-state and zinc-air batteries offer up to 10 times better energy density. However, most are at early stages of development and none will attain parity with the Li-ion before 2024.

“The next generation of batteries remains mostly in research labs, undergoing fundamental development, and technologies led by Li-air, Li-S, and solid-state are still not yet ready for prime time,” said Cosmin Laslau, Lux Research Analyst and the lead author of the report titled, “Beyond Lithium-Ion: A Roadmap for Next-Generation Batteries.”

“However, customers will ultimately require the top-shelf performance that only technologies beyond Li-ion can provide – and leading companies like BASF, Toyota, and IBM are placing large and early bets,” added Laslau.

Lux Research analysts assessed the next-generation batteries, dispelling hype and identifying obstacles, and built a next-generation energy storage roadmap. Among their findings:

  • A roadmap for adoption. Cost-insensitive military applications will provide the entry point for next-generation batteries around 2020, while consumer electronics will follow a little later with significant adoption of solid-state batteries. However, next-generation batteries will face cost and technology hurdles in transportation.
  • Cost parity in a decade. Next-generation batteries will become cost-competitive with Li-ion in 2024. Solid-state batteries will take until 2021 to reach $409/kWh, the current cost for Li-ion batteries. By 2030, most battery cells will drop in nominal cost to below $200/kWh on the cell level.
  • Three early leaders emerging. Start-ups PolyPlus, Sion Power, and Oxis Energy have received ample funding and made technical headway. PolyPlus, funded by the U.S. Department of Energy, has developed a coating for protected lithium electrodes in Li-air and Li-S. Sion Power, backed by BASF, and Oxis Energy, financed by Sasol New Energy, own proprietary organic and polymer electrolytes for Li-S.

The report, titled “Beyond Lithium-Ion: A Roadmap for Next-Generation Batteries,” is part of the Lux Research Mobile Energy Intelligence service.

Lux Research provides strategic advice and ongoing intelligence for emerging technologies.