Category Archives: Editors Picks

August 27, 2012 – Semiconductor lithography tool leader ASML has agreed to sell a 3% equity stake to Samsung Electronics, adding a third major chip investor to its plan to help fund its ongoing development efforts in semiconductor lithography technologies.

Earlier this summer ASML unveiled its blockbuster Co-Investment Program: hand over up to an aggregate 25% minority stake to its key customers, in exchange for cash and R&D funding for the next five years, split between two key areas of EUV lithography and 450mm wafers. First to jump on board was Intel paying €2.25 billion (US $2.8B) for a 15% stake; weeks later TSMC picked up a 5% stake in ASML for about €1.1B (US $1.38B).

Also read:

Now, under the newest deal, Samsung will invest a total of €779M (US $974M) in ASML: €503M for the equity and €276M for R&D. In total, from which are inarguably far and away the top three global chipmakers, ASML has pulled in roughly €3.04B ($) in equity investments, and €1.38B (US $) to support R&D funding. With its goal of €1.3B reached, ASML says the program is now officially concluded and it will not seek any more participants.

* Some totals rounded

ASML’s Co-Investment Program has been well received by industry and investors (ASML’s stock price has risen about 19% since the Intel announcement on July 10). EUV lithography is still being tinkered with to get it production-ready, with significant hurdles still to surmount from source power to masks. Meanwhile the industry is simultaneously trying to transition to the bigger 450mm wafer size, but lithography (essentially ASML) has been a glaring omission from those efforts. With major chipmakers ponying up funds specifically to ramp up lithography efforts in 450mm wafer processing, that gets everyone behind 450mm to make it happen within the next couple of years.

(Image via Shutterstock)

Visit the Semiconductors Channel of Solid State Technology, and sign up for our WaferNEWS e-newsletter!

August 24, 2012 – IHS iSuppli is downgrading its outlook for 2012 global semiconductor revenues, shifting from slight single-digit growth to predicting the industry’s first annual decline since 2009. The firm blames a weakening economy that has eroded demand for PCs and related components.

The decline is "a major event for the global semiconductor market," said Dale Ford, senior director at IHS. Even though electronics markets remained very weak through all of 2011, the firm never projected a full-year revenue dropoff, he noted.

IHS iSuppli’s most recent forecast for 2012 chip sales growth, issued in July, was 3.0% to $320.8B. In April the firm had inched up its outlook to 4.3% (to $324.6B) citing strong ongoing consumer demand for wireless products like cellphones and media tablets. Its original 2012 forecast issued in January was for 3.3% growth.

Preliminary global annual semiconductor revenue growth
forecast, in % change of US $. (Source: IHS iSuppli)

Global chip revenues declined a seasonally typical -3.6% in 1Q12, but increased barely 3% in 2Q12. In a separate report issued this week the firm declares this is "a troubling sign for the health of the semiconductor market," attributed to the Eurozone crisis, slowing manufacturing growth in China, and stubbornly highly unemployment in the US.

Revenue guidance from key chip suppliers suggests 3Q12 will be slightly better — 6% Q/Q growth — but the firm says that is just further "subdued expansion" that won’t prevent the market from contracting this year.

Late-year introduction of ultrabooks and ultrathin PC platforms will give the PC market a boost, but macroeconomic malaise will exert too much pressure on PC demand, for both consumer and corporate spending, the firm notes.

IHS iSuppli still feels confident in a strong rebound in 2013, sticking with its projection of 9% Y/Y chip sales growth, assuming economic conditions improve. Nevertheless, it couches its optimism with persistent "multiple high-impact events" that present possible risks to create a strong economic downturn, from the Eurozone crisis to slowing Chinese growth to US tax and budget expirations to Middle East turmoil.

The 15th IITC (International Interconnect Technology Conference) opened Monday, June 4 at the Doubletree Hotel in San Jose, CA with about 230 engineers, scientists and technologists in attendance under a light drizzle. Recurring themes this year were variations on 3D and TSV, novel systems and packaging, and back end memory.

Mike Mayberry, VP Components Research at Intel, delivered the opening keynote address with prognostication for what lies ahead for devices and interconnects. The traditional trio of smaller, faster, cheaper is joined by longer battery life. An asymmetric device, tunneling FET (TFET), is one option that may be able to deliver 8x performance over CMOS while operating at very low voltages. Interconnect shrinkage brings us to the physical limitations of barrier vs. copper volume for reliability vs. conductivity, as illustrated in this 10nm copper trench.

 

But while there are physical limits, Mayberry proffered that the correct answer to “where will it end” is “when we run out of ideas.” One new idea is the notion of stacking devices themselves, rather than remaining constrained to a single layer of silicon. This can provide a device density gain of 30%-50%. New architectures like associative memory will be fostered by new ways of using consumer devices, such as context-sensitive device response. For example, minimizing distractions while you are driving, or silencing your hilarious ring tone during business meetings, might be desirable capabilities to have built in. “If you only look for better versions of what you have today, you are going to miss opportunities,” he said. On-chip optical interconnects are likely to be limited in scope due to density and power considerations.

Soo-Hyun Kim of Yeungnam U (Korea) gave an invited talk on ALD Ru with organometallic precursors for copper seed layer and capacitor electrodes. Rapid nucleation was achieved using three different zero-valent Ru compounds reacting with molecular O2. Nucleation begins within the first 2-3 cycles, with thin film coalescence coming in the 50-60 cycle range. In addition, nucleus density is 1.5-2 orders of magnitude higher with these precursors compared to a more traditional Ru(EtCp)2. Good conformality was shown up to AR 32 at 225°C deposition temperature.

Theo Frot of IBM Almaden Research described some approaches to protecting porous low-κ dielectrics from plasma and CMP damage. The post-porosity plasma protection strategy yields the best results on a variety of dielectrics ranging from κ 2.4 to κ 1.8 in DHF wet etch and O2 plasma-induced damage (PID) tests.

 

One of the observed fringe benefits of this process strategy is a lower rate of post-CMP delamination. The original κ 2.0 value was confirmed following integration in a single damascene layer test structure.

Christopher Wilson of IMEC integrated a κ 2.3 spin-on dielectric for sub-28nm technology using EUV lithography. Structures were fabricated at a 40nm half pitch and post-etch dielectric constant was restored with a He/H2 plasma treatment that resulted in a 13% improvement in RC characteristics. Single damascene and dual damascene dielectric stacks are shown in the figure.

 

TDDB did not degrade as the spaces between the 40nm trenches were scaled from 90nm to 40nm.

YH Wu of TSMC described the use of an uncured ELK material as a CMP stop layer. Following CMP, the ELK porogen is activated to form the low-κ dielectric, resulting in a net smaller shift in κ. Integration schemes with and without the uncured ELK layer had comparable leakage, but the uncured ELK layer increases line-to-line capacitance by 7% before curing. After curing, the capacitance penalty was eliminated. Benefits of the stop layer include an improvement of copper thickness control across the wafer from 11% to 4%.

Chih-Chao Yang of IBM Albany Research showed the use of Co films as Cu capping layers. Better TDDB results with no dependence on Co thickness were observed with an in-situ process, in which the Cu oxide removal prior to Co deposition is conducted in a single reaction chamber with no air exposure between steps.

 

Jürgen Wolf of Fraunhofer IZM-ASSID described the outlook for silicon interposers with integrated TSVs for 3D SiP integration. Process schemes are designed with an eye toward leveraging WLP designs and manufacturing methods. Several temporary wafer bonding technologies are included in the mix to accommodate the in-process handling of extremely thin wafers for WLP. SnAg and SnAgCu alloys for Pb-free reflow soldering to Au bumps have been found to be adequate up to this point in the development process.

Jinho An of Samsung spoke about controlling extrusion defects in Cu TSV through annealing process conditions and structural design factors. TSV diameter has the largest effect on the tendency to extrude. Carbon and sulfur impurities affect the copper grain size, which in turn is inversely related to percent extrusion.

 

Ashish Dembla of Georgia Tech described a scheme for fine pitch (35µm) high AR (18:1) TSV integration in silicon micropin-fin heat sinks. The microfluidic prototype structure shown could handle a power density of 100 W/cm2 with a resulting junction temperature <50°C and a pressure drop of 83kPa. The silicon pins were fabricated with a cluster of copper TSVs inside each pin to enhance thermal transport as well as to provide the TSV functionality.

 

Michael Van Buskirk of Adesto Technologies gave an invited talk on a scalable, low power, high performance resistive memory technology platform called conductive bridging RAM (CBRAM). The device shown consists of a W cathode, Ag anode and GeS2 solid electrolyte switching layer. The operating principle is based on the formation of a conductive silver dendrite between the electrodes, with conductivity increasing the longer the ON switching current is left on. This makes is conceivable to have multiple ON states in a single device. A 1Mb serial EEPROM/Flash combination product has been integrated into a 130nm Cu BEOL design and is commercially available. Cross-contamination concerns about the introduction of Ag into the fab were handled with minor modification of the same protocols required for Cu. The device has demonstrated an endurance of 100k write cycles with 10 year data retention at 70°C.

 

Jonggi Kim of Yonsei U (Korea) described the switching mechanism of another resistive switching device, this one based on the redox migration of oxygen ions in HfO2 between Ni/Ti and Pt electrodes.

Honggun Kim of Samsung R&D presented a novel flowable CVD process technology for sub-20nm interlayer dielectrics. Process conditions made it possible to eliminate the Si3N4 oxidation diffusion barrier, reducing the bit-line loading capacitance by 15%. Gap fill for AR 40:1 has been demonstrated with peak process temperature <500°C.

 

S. Maîtrejean of CEA Leti talked about the challenges in phase change memories from a materials and process perspective. The addition of carbon to PVD GeTe correlated well with MOCVD GeTe with residual carbon. A confined device structure performed better in terms of switching time and ΔR than the earlier plug designs with an unconstrained PCM layer.

 

Since 2008, there have been more objects connected to the Internet than peeople in the world and this figure will hit 50 billion by 2020, according to Libelium, a wireless sensor networks platform provider. The company has released a list of 54 sensor applications for a smarter world, covering the most disruptive sensor and “internet of things” applications.

Their list is grouped in 12 different verticals, showing how the internet of things is becoming the next technological revolution. It includes the trendiest scenarios, such as smart cities where sensors can offer services such as smart parking – to find free parking spots in the streets– or managing the intensity of the luminosity in street lights to save energy. Climate change, environmental protection, water quality or CO2 emissions are also addressed by sensor networks.

Other sections such as industrial control, logistics or retail cover applications more focused in process efficiency like providing information for restocking the shelves and even product placement for marketing purposes. The list is completed with applications in the verticals of smart metering, security and emergencies, smart agriculture, animal farming, domotic and home automation and eHealth.

“Now we are able to collect data everywhere from our environment, infrastructures, businesses and even ourselves, and this huge amount of information is generating a new ecosystem of business opportunities around its storage, analysis and accessibility” says Libelium’s CEO Alicia Asín. “We want this document to inspire people and companies with all the opportunities around the Internet of Things era,” she added.

Smart Cities

1.  Smart parking:  Monitoring of parking spaces availability in the city.

2. Structural health: Monitoring of vibrations and material conditions in buildings, bridges and historical monuments.

3. Noise urban maps: Sound monitoring in bar areas and centric zones in real time.

4. Traffic congestion: Monitoring of vehicles and pedestrian levels to optimize driving and walking routes.

5. Smart lightning: Intelligent and weather adaptive lighting in street lights.

6. Waste management: Detection of rubbish levels in containers to optimize the trash collection routes.

7. Intelligent transportation systems: Smart Roads and Intelligent Highways with warning messages and diversions according to climate conditions and unexpected events like accidents or traffic jams.

Smart Environment

8. Forest fire detection: Monitoring of combustion gases and preemptive fire conditions to define alert zones.

9. Air pollution: Control of CO2 emissions of factories, pollution emitted by cars and toxic gases generated in farms.

10. Landslide and avalanche prevention: Monitoring of soil moisture, vibrations and earth density to detect dangerous patterns in land conditions.

11. Earthquake early detection: Distributed control in specific places of tremors.

Smart Water

12. Water quality: Study of water suitability in rivers and the sea for fauna and eligibility for drinkable use.

13. Water leakages: Detection of liquid presence outside tanks and pressure variations along pipes.

14. River floods: Monitoring of water level variations in rivers, dams and reservoirs.

Smart Metering

15. Smart grid: Energy consumption monitoring and management.

16. Tank level: Monitoring of water, oil and gas levels in storage tanks and cisterns.

17. Photovoltaic installations: Monitoring and optimization of performance in solar energy plants.

18. Water flow: Measurement of water pressure in water transportation systems.

19. Silos stock calculation: Measurement of emptiness level and weight of the goods.

Security and Emergencies

20. Perimeter access control: Access control to restricted areas and detection of people in non-authorized areas.

21. Liquid presence: Liquid detection in data centers, warehouses and sensitive building grounds to prevent break downs and corrosion.

22. Radiation levels: Distributed measurement of radiation levels in nuclear power stations surroundings to generate leakage alerts.

23. Explosive and hazardous gases: Detection of gas levels and leakages in industrial environments, surroundings of chemical factories and inside mines.

Retail

24. Supply chain control: Monitoring of storage conditions along the supply chain and product tracking for traceability purposes.

25. NFC payment: Payment processing based in location or activity duration for public transport, gyms, theme parks, etc.

26. Intelligent shopping applications: Getting advices in the point of sale according to customer habits, preferences, presence of allergic components for them or expiring dates.

27. Smart product management
Control of rotation of products in shelves and warehouses to automate restocking processes.

Logistics

28. Quality of shipment conditions: Monitoring of vibrations, strokes, container openings or cold chain maintenance for insurance purposes.

29. Item location: Search of individual items in big surfaces like warehouses or harbours.

30. Storage incompatibility detection: Warning emission on containers storing inflammable goods closed to others containing explosive material.

31. Fleet tracking: Control of routes followed for delicate goods like medical drugs, jewels or dangerous merchandises.

Industrial Control

32. M2M applications: Machine auto-diagnosis and assets control.

33. Indoor air quality: Monitoring of toxic gas and oxygen levels inside chemical plants to ensure workers and goods safety.

34. Temperature monitoring: Control of temperature inside industrial and medical fridges with sensitive merchandise.

35. Ozone presence: Monitoring of ozone levels during the drying meat process in food factories.

36. Indoor location: Asset indoor location by using active (ZigBee) and passive tags (RFID/NFC).

37. Vehicle auto-diagnosis: Information collection from CanBus to send real time alarms to emergencies or provide advice to drivers.

Smart Agriculture

38. Wine quality enhancing: Monitoring soil moisture and trunk diameter in vineyards to control the amount of sugar in grapes and grapevine health.

39. Green houses: Control micro-climate conditions to maximize the production of fruits and vegetables and its quality.

40. Golf courses: Selective irrigation in dry zones to reduce the water resources required in the green.

41. Meteorological station network: Study of weather conditions in fields to forecast ice formation, rain, drought, snow or wind changes.

42. Compost: Control of humidity and temperature levels in alfalfa, hay, straw, etc. to prevent fungus and other microbial contaminants.

Smart Animal Farming

43. Offspring care: Control of growing conditions of the offspring in animal farms to ensure its survival and health.

44. Animal tracking: Location and identification of animals grazing in open pastures or location in big stables.

45. Toxic gas levels: Study of ventilation and air quality in farms and detection of harmful gases from excrements.

Domotic and Home Automation

46. Energy and water use: Energy and water supply consumption monitoring to obtain advice on how to save cost and resources.

47. Remote control appliances: Switching on and off remotely appliances to avoid accidents and save energy.

48. Intrusion detection systems: Detection of windows and doors openings and violations to prevent intruders.

49. Art and goods preservation: Monitoring of conditions inside museums and art warehouses.

eHealth

50. Fall detection: Assistance for elderly or disabled people living independent.

51. Medical fridges: Control of conditions inside freezers storing vaccines, medicines and organic elements.

52. Sportsmen care: Vital signs monitoring in high performance centers and fields.

53. Patients surveillance: Monitoring of conditions of patients inside hospitals and in old people’s home.

54. Ultraviolet radiation: Measurement of UV sun rays to warn people not to be exposed in certain hours.

 

The 23rd Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC 2012) will be held May 15-17 in Saratoga Springs, New York. The conference will feature presentations of more than 85 peer-reviewed manuscripts covering critical process technologies and fab productivity. This year’s event features a panel discussion on “Competing for R&D Dollars,” moderated by Solid State Technology Editor-in-Chief Pete Singer, and 15 technical sessions on advanced semiconductor manufacturing, as well as a tutorial on Advanced Device Design offered by IBM Research.

For over 20 years, ASMC has provided a premier venue for industry professionals to learn and share knowledge on new and “best practice” semiconductor manufacturing issues and concepts.  ASMC provides a valuable source of cost-effective, hands-on solutions to address real-world manufacturing challenges. It is acknowledged as a leading technical conference that enables collaboration and sharing of technical breakthroughs. This year’s conference features keynotes delivered by industry leaders, including: Michael Campbell, senior vice president, Engineering, Qualcomm, and Andrea Lati, principal analyst, VLSI Research.

As advances in materials and process technology continue, the semiconductor manufacturing industry is faced with difficult challenges as it balances costs and critical technology issues. Limited R&D dollars is the reality, and it is unclear how wafer size transition, next node scaling, new transistor technology, 450mm EUV, and 3D-IC will be funded. To address this issue, ASMC offers a panel discussion this year on “Competing for R&D Dollars: Funding the Future” with panelists from Applied Materials, ASML, GLOBALFOUNDRIES and IBM addressing 450mm, EUV and 3D.  

ASMC 2012 sessions include:

  • Factory Optimization: Semiconductor equipment and manufacturing are increasingly complex with strict economic constraints. The sessions discuss novel solutions to improve equipment/factory productivity and performance.
  • Advanced Metrology: Advanced semiconductor manufacturing demands advanced metrology techniques. This session details new technologies and improvements.
  • 3D/Through Silicon Via (TSV): Very Large Scale Integration motivates 3D integrated circuit architectures. This session presents complexities of TSV techniques supporting 3D designs.
  • Equipment, Materials & Processes: Advanced memory and logic manufacturers face daunting challenges as the next generation device nodes come on line. Innovations in equipment, materials, and processes help meet those challenges.  
  • Emerging Technologies and Innovative Devices: Innovative integrated circuit functionalities continue to be integrated in semiconductor manufacturing. This session presents analysis of the effects of enabling technologies, and innovative integrated circuit designs.
  • Equipment and Materials Productivity: Optimizing equipment and performance will help improve fab metrics, minimize wafer costs and maximize competitiveness— how to help optimize equipment utilization, improve predictive modeling of fab operations, and tool performance.
  • Advanced Patterning and Design for Manufacturability: IC production today requires innovative lithography design and manufacturing techniques, including collaborative efforts between chip makers and equipment suppliers discussing leading-edge solutions
  • Process Development and Control: The demand for high quality and product yields is a constant driver for advanced process development and control techniques. Session covers improvements in processes, tool controls and predictive process performance analysis.
  • Defect Inspection and Yield Optimization: Defect inspection, yield analysis and optimization are integral components in the development and manufacture of semiconductor devices

ASMC also holds an interactive poster session and reception, which provides an ideal opportunity for networking between authors and conference attendees. During this session, participants can engage authors in in-depth discussion of a wide range of issues.

ASMC 2012 is presented by SEMI with technical sponsors: Institute of Electrical & Electronics Engineers (IEEE), IEEE Electron Devices Society (EDS), and IEEE Components, Packaging and Manufacturing Technology Society (CPMT).  Corporate sponsors include: Applied Materials, ATMI, ChemTrace, CNW Courier Network, Edwards, KLA-Tencor, Mentor Graphics, Nikon, NY Loves Nanotech, and Valqua. Additional sponsors include: Saratoga Convention & Tourism Bureau, Saratoga Economic Development Corporation, and the city of Saratoga Springs, New York.

December 13, 2011 — SEMI reports worldwide semiconductor manufacturing equipment bookings fell 38% year-over-year in Q3 2011; billings dropped 5% for the same quarter.

Worldwide semiconductor equipment bookings came in at $7.6 billion in Q3, a 29% plunge from Q2 2011, and 38% below Q3 2010.

Billings reached $10.6 billion: 11% less than the previous quarter and 5% below Q3 2010.

Table. Quarterly billings data by region in millions of US dollars, year-over-year and quarter-over-quarter growth rates by region. Source: SEMI/SEAJ December 2011 . Note: Figures may not add due to rounding.


Region


3Q2011


2Q 2011


3Q2010

3Q11/2Q11
(Q-o-Q)

3Q11/3Q10
(Y-o-Y)

Korea

2.27

2.17

2.62

4%

-13%

North America

2.11

2.21

1.53

-4%

38%

Japan

1.74

1.48

1.24

18%

40%

Taiwan

1.49

2.76

3.03

-46%

-51%

ROW

1.04

0.99

1.02

5%

2%

Europe

1.02

1.18

0.62

-13%

66%

China

0.94

1.13

1.13

-17%

-17%

Total

10.61

11.92

11.19

-11%

-5%

The data is gathered by SEMI and the Semiconductor Equipment Association of Japan (SEAJ) from over 100 global equipment companies that provide data on a monthly basis.

The Equipment Market Data Subscription (EMDS) from SEMI provides comprehensive market data for the global semiconductor equipment market, including the monthly SEMI Book-to-Bill Report, the monthly Worldwide Semiconductor Equipment Market Statistics (SEMS) report, and the SEMI Semiconductor Equipment Consensus Forecast. For more information or to subscribe, please contact SEMI customer service at 1.877.746.7788 (toll free in the U.S.) or 1.408.943.6901 (International Callers).

SEMI is a global industry association serving the nano- and micro-electronics manufacturing supply chains. For more information, visit www.semi.org.