Category Archives: LEDs

November 7, 2011 – China’s National Development and Reform Commission (NDRC) has laid out its plans to phase out incandescent lamps within five years, seen as providing important visibility into the nation’s burgeoning market for LEDs. The shift is laid out in three steps (sandwiching two "evaluation" periods), phasing out incandescent lamps starting with =100W by Oct. 2012, then =60W by Oct. 2014, and then =15W by Oct. 2016.

The aim is to establish policies that emphasize both energy savings and reduced emissions — reportedly cutting energy consumption per unit of GDP by 16% and carbon emissions by 17%. And the shift is hoped to save as much as 48 billion kWh a year, in a nation where power consumption for lighting accounts for 12% of the country’s total electricity use. China produces more bulbs than anyone (both incandescent and LED), churning out 3.85B units in 2010, of which about 27% was for domestic use. "Phasing-out incandescent lamps in China will not only promote lighting technology progress and lighting industry upgrading and optimization, it will also make a positive contribution for realizing China’s energy conservation and emission reduction goal," said Christophe Bahuet, deputy country director of United Nations Development Programme (UNDP), quoted by Xinhua.

Citi’s Tim Arcuri, on his way to a tour of Chinese and Taiwanese companies and leaders, expects the new policy to include three incentive programs "to jump-start China’s LED industry," including a RMB 8B/US$1.3B direct demand subsidy/rebate program for consumers, R&D grant/subsidy for domestic LED makers (focusing on domestic MOCVD suppliers), and support for businesses showcasing "innovation and IP generation/protection."

Arcuri calculates that RMB 8B will drive about ~135M 6W-equivalent bulbs, which would translate to "anywhere from 25-60 new MOCVD reactors" — but that will essentially just soak up ~10% of the MOCVD capacity that’s already shipped into China over the past few years. Problems still plaguing the LED space include declining prices, low utilization rates (~50% in Korea and Taiwan he says), and an "intensifying credit crunch in China" where "several high-profile LED companies have gone bankrupt in just the last several weeks." He thinks MOCVD orders will keep declining for another two or three quarters, and with increasing risk of cancellations as LED chipmakers slow down their own expansions and start looking at consolidation as a way to gain capacity instead.

While China’s government paves the road for LED adoption, Taiwan industry leaders are pushing their government to step up before their own industry "sinks into the abyss" following its once-"iconic" DRAM and LCD segments. A recent conference urged subsidies for energy-saving lighting and promote investments, patent development, and standards — suggesting Taiwan could save 22.4B kWh/year of electricity (half of what the island’s three nuclear plants can generate), on top of reducing CO>sub>2 emissions and energy waste.

Unlike China, Taiwan doesn’t have a large and strong end-market for LED devices — but government funding for Taiwanese LED makers would generate "branding" and ensure steady demand from domestic contract manufacturers, suggested M.S. Kung from the Taiwan Institute of Economic Research. "But branding requires a strong patent portfolio to compete globally, so the government has to financially support manufacturers

November 7, 2011 – BUSINESS WIRE — Mentor Graphics Corporation (NASDAQ:MENT) completed a 20nm test chip tapeout with STMicroelectronics (NYSE:STM).

Mentor is pursuing a design-to-silicon framework for next-generation semiconductor nodes with the Olympus-SoC, Calibre and Tessent silicon test and yield analysis products. "The 20nm node has…new requirements including double patterning [lithography]," noted Pravin Madhani, general manager of the Mentor Place and Route Group. Process complexity, variability, large design sizes, low power requirements, and more are also considerations, added Philippe Magarshack, group VP at STMicroelectronics Technology Research and Development. The test chip was implemented using the Olympus-SoC place-and-route system, and verified using the Calibre nmDRC verification and double patterning platform (which is used by R&D teams at STMicroelectronics).

STM is a teaching customer and strategic investment partner in the DeCADE program for 20nm enablement. The joint-development project named DeCADE builds on advanced design technologies for system-on-chip (SoC) development. DeCADE reinforces the Crolles cooperative R&D cluster, which gathers partners that develop and enable low-power SoCs and value-added application-specific technologies, and is a project developed within the framework of the Nano2012 program. Nano2012 is a strategic R&D program, led by STMicroelectronics, which gathers research institutes and industrial partners and is supported by French national, regional and local authorities.

The Olympus-SoC place and route system is a complete netlist-to-GDSII system and is built on patented concurrent multi-corner multi-mode (MCMM) optimization, high capacity data model, advanced low power capabilities and integration with the Calibre platform for faster manufacturing closure. The OpenRouter architecture of the Olympus-SoC product enables native invocation of Calibre engines during design and uses the foundry signoff decks to ensure that the resulting layout is decomposable for multi-patterning, in addition to being DRC/LVS/DFM signoff clean.

Mentor Graphics Corporation (NASDAQ:MENT) makes electronic hardware and software design products and services. World Wide Web site: http://www.mentor.com/.

Subscribe to Solid State Technology

November 4, 2011 — Numerous global semiconductor suppliers maintain assembly and test operations in Thailand. Many of these facilities have been affected by the disaster. IHS iSuppli pulled together a list of those affected, and those that have thus-far escaped damage.

Semiconductor suppliers whose test and assembly operations have been affected include ON Semiconductor, ROHM Semiconductor, Lapis Semiconductor, Hana Semiconductor, Stars Microelectronics, Vigilant Technology, STATS ChipPac and Toshiba.

ON Semiconductor: ON Semiconductor Corporation (Nasdaq:ONNN) believes that its SANYO Semiconductor division’s Thai operations in the Rojana Industrial Park in Ayutthaya, Thailand have been severely damaged by the flood. Another facility in Bang Pa In, previously unaffected, is now flooded. Read details on ONNN’s closings here.

ROHM: Sole facility in Thailand has been closed since Oct. 19. The facility conducts assembly and test for integrated circuits, discrete transistors, diodes, resistors and tantalum capacitors. ROHM is attempting to shift production to other locations.

Lapis: Rojana Industrial Park operation is closed. Lapis is looking to supply product from alternative locations.

Hana Semiconductor, a subcontractor for Microchip, Texas Instruments (TI) and others: Thai facility is currently flooded, and no assessment is possible. TI and Microchip are relocating as much production as possible to other qualified locations.

Stars Microelectronics: Ayutthaya facility has been flooded. A subcontractor for Microchip, Stars is relocating operations to other sites and anticipates that the impact on production of Microchip

November 3, 2011 — The Semiconductor Industry Association (SIA), representing U.S. leadership in semiconductor manufacturing and design, elected Freescale Semiconductor CEO Rich Beyer as its 2012 chairman. This will be the SIA’s 35th year.

Rich Beyer joined Freescale Semiconductor in March 2008, and has led at Intersil Corporation, Elantec Semiconductor, VLSI Technology and National Semiconductor Corporation. He holds bachelors and master’s degrees from Georgetown University and an MBA from Columbia University Graduate School of Business, and served 3 years in the United States Marine Corps. He currently serves as a member of the Partnership for a New American Economy and on the US Department of Commerce

November 3, 2011 — Imec and its project partners launched IMOLA (Intelligent light Management for organic light-emitting diode on foil Applications), tasked with making large-area OLED-based lighting modules with built-in intelligent light management.

The project comes under the EU

November 2, 2011 — DuPont has signed a technology licensing agreement with a leading Asian manufacturer of active matrix organic light emitting diode (AMOLED) display products. The display maker will use DuPont process technology to make large AMOLED television displays at significantly lower cost than alternative technologies.

Terms of the agreement were not disclosed. The processing technology is a solution-based printing method that dispenses liquid OLED materials.

AMOLED televisions offer better performance — vivid color, faster response, wider viewing angle, and higher contrast — and energy efficiency than liquid crystal displays (LCDs), and the new DuPont’s process technology will enable cost-effective manufacturing to make AMOLED TVs consumer-price-point friendly, said David B. Miller, president, DuPont Electronics & Communications. Currently, AMOLEDs have been used in small displays, like those in mobile phones, but the cost has been prohibitive for televisions.

In addition to these kinds of partnerships, DuPont plans to sell proprietary DuPont OLED materials, said William F. Feehery, global business director, DuPont Electronics & Communications.  

DuPont reports that the AMOLED television market is projected by industry analysts to grow to over $5 billion by 2017.

DuPont (NYSE:DD) provides innovative products, materials, and services. Visit http://www.dupont.com. For more information on DuPont AMOLED technologies, please visit http://oled.dupont.com.

Watch an animated video on DuPont AMOLED process technology at http://www2.dupont.com/OLED/en_US/knowledge_cente/video_printing_amoled_displays.html?src=pr_techagreement_video
The DuPont solution-based printing process for manufacturing AMOLED displays enables large TV displays to be produced cost effectively. Video courtesy of DuPont.

Subscribe to Solid State Technology

November 1, 2011 — The University of Toronto’s organic light-emitting diode (OLED) on plastic reportedly boasts the highest efficiency for plastic-based OLEDs to date, comprable to the top-of-the-line glass-based OLEDs.

Figure. Wang and Helander’s flexible OLED on plastic. SOURCE: University of Toronto.

Materials Science & Engineering Professor Zheng-Hong Lu, the Canada Research Chair (Tier I) in Organic Optoelectronics, supervised the research with Ph.D. candidates Zhibin Wang and Michael G. Helander.

Wang and Helander were able to re-construct the high-refractive index property previously limited to heavy metal-doped glass by using a 50-100nm-thick layer of tantalum(V) oxide (Ta2O5), an advanced optical thin-film coating material.

October 31, 2011 — Georgia Institute of Technology (Georgia Tech) researchers have found that gallium nitride (GaN) light emitting diodes (LEDs) get a significant efficiency boost from zinc oxide (ZnO) microwires. The piezo-phototronic effect charges LEDs’ ability to convert electricity to ultraviolet (UV) light, which GA Tech believes is a first for the LED industry.

Piezo-phototronics is the control of an optoelectronic device with piezoelectric potential. In this study, the ZnO crystals become electrically charged when its polarized ions are compressed or otherwise mechanically strained. Georgia Tech notes that piezoelectric and semiconducting materials can be tuned mechanically, opening up various device design improvement opportunities.

Mechanical strain brought out the piezoelectric potential in the wires, which then allowed researchers to tune the charge transport at the p-n junction and enhance carrier injection in the LEDs. The rate at which electrons and holes recombined to generate photons increased.

October 28, 2011 — Printed electronics can improve existing electronics and energy applications, replacing non-printed layers in displays or increasing crystalline silicon photovoltaics efficiency, among other applications shared below.

The giant East Asian electronics companies are replacing several non-printed layers in LCD flat screens with one printed layer, greatly reducing the cost, said Raghu Das, CEO, IDTechEx.

Third-generation lithium-ion batteries are printed and solid state, doubling the all-electric range of new electric cars, Das added.

T-Ink Inc plans to replace heavy, expensive wiring in road vehicles with printed wiring.

DuPont announced recently that it has acquired Innovalight, Inc., a company specializing in advanced nano-silicon inks and process technologies that increase the efficiency of crystalline silicon solar cells. DuPont exceeded $1 billion in revenue from sales into the conventional photovoltaic market in 2010, and it has set a goal to reach $2 billion by 2014 based on continued growth supported by new innovations that improve solar module efficiency, lifetime and overall system costs. Silicon inks used in conjunction with DuPont Solamet photovoltaic metallization pastes boost the amount of electricity produced from sunlight, enabling the production of superior Selective Emitter solar cells.

Kovio in Milpitas is printing the logic in the electronic tickets of the Los Angeles Metro, replacing the silicon chip at a lower price point.

More examples from Das include OTB group ink jet printing in solar cell mass production, Solexant optimizing solar cell production and Boeing Spectrolab further enhancing solar cell efficiency for space PV to terrestrial applications. In the energy arena, battery testers are printed onto Duracell batteries by Avery Dennison, and OLED displays are printed in phones and cameras.

Raghu Das is CEO of IDTechEx and co-author of the annual, "Printed, Organic & Flexible Electronics Forecasts, Players & Opportunities 2011-2021" available at www.IDTechEx.com/pe.

IDTechEx hosts Printed Electronics USA, this December in Santa Clara, CA, where many of these applications will be discussed. Learn more about IDTechEx at http://www.idtechex.com