Category Archives: LEDs

C. Mark Melliar-Smith, S.V. Sreenivasan, Molecular Imprints Inc., Austin, TX, USA

Over the past 40 years, the ability to make smaller features with improved optical lithography has been the basis for Moore’s Law and the incredible advances in CMOS technology. However, continued extension to 50nm features has become increasingly difficult and expensive, placing Moore’s Law in some degree of jeopardy over the next decade. Sub-wavelength imaging has become common in the industry, but this has come at a significant price in terms of cost, complexity and design rule restrictions. The industry has been aware of the challenges of sub-wavelength imaging for many years and the dramatic move to 13nm&#8212referred to as Extreme Ultra Violet (EUV)&#8212has been the subject of intense effort over the past decade. However, the technical challenges associated with using X-ray wavelengths (not withstanding the EUV name), which are not transmitted by any materials including air, have proved to be almost insurmountable.

Step and flash imprint lithography
Recently a new technology, called Step and Flash Imprint Lithography (S-FIL), has gained attention as an alternative to EUV for CMOS lithography [1]. This process, shown schematically in Fig. 1, uses an electron-beam generated imprint mask to pattern a low viscosity liquid, which is then solidified by a flash of broadband UV light. Since the resolution of the patterns is controlled solely by the imprint mask, the process does not suffer from any of the wavelength imaging issues that have plagued optical lithography, with features as small as 5nm being demonstrated [2].


1. Schematic of step and flash imprint lithography process.

Recently published results [3-7] by companies such as Samsung, IBM, and Hewlett-Packard have also demonstrated the lithographic capability of the technology. Additionally, Toshiba conducted a comprehensive technology evaluation of S-FIL technology at one of its facilities to help determine how to approach the lithography of their next-generation device architectures. Figure 2 [8] gives an example of the data that Toshiba presented in this evaluation, illustrating resolution down to 18nm with excellent line-width roughness (<2nm) and overlay (mean + 3&#963) approaching 10nm. Resolution and line-width roughness are areas where the S-FIL process leads in performance compared to competitive sub-32nm lithographic alternatives, while the overlay performance demonstrated lends confidence that S-FIL should be capable in time of meeting requirements for manufacturing


2. Imprint results from Toshiba presented at the SPIE Advanced Lithography Conference in February 2008, showing both 18nm minimum feature size and overlay approaching 10nm.

Toshiba, like other companies publishing on S-FIL lithography, leads the industry roadmap by as much as three years in order to meet the memory density requirements demanded by their customers. Hence the growing interest in imprint lithography as a cost-effective route to higher-resolution lithography.

Manufacturability
While high-resolution patterns and tight overlay demonstrate the feasibility of S-FIL, lithography success requires that S-FIL withstand the rigors of a CMOS fab/process in production. This presents a number of challenges for a new technology, of which three are especially critical:

  • Cost-of-ownership&#8212does the new technology offer a significant advantage;
  • Compatibility with existing CMOS processes; and
  • Extendibility&#8212can the technology be used for more than 1 or 2 nodes.

Cost-of -ownership (CoO)
The rapid increase in the cost of CMOS lithography has placed considerable pressure on CoO. While throughput and the capital cost of the tool are major contributors to lithography CoO, they are now being rivaled by the additional cost of process complexity for 193nm double patterning, and by the cost of masks for both double patterning and EUV. S-FIL can offer significant cost advantages in all of these segments&#8212throughput, capital, process complexity and mask costs. CoO estimates for 193nm optical, EUV and S-FIL are shown in Fig. 3.


3. Relative CoO calculations for various options at 22nm half-pitch relative to single exposure 193nm immersion at 45nm.

Figure 3 shows the rapid increase in 193nm lithography CoO from patterning for 45nm, versus that required for 22nm (double spacer patterning). Estimates are shown for EUV assuming a realistic 50wph throughput (given the challenges in both sources and resists) and an estimate for a 4-head imprint cluster tool, running at 80wph.

The absence of expensive lenses, light sources and vacuum chambers reduces the cost of S-FIL patterning tools by as much as a factor of 10 relative to photon-based equipment. The combination of low tool cost and cluster tooling significantly reduces the equipment-related component of CoO for S-FIL relative to 193nm double patterning and EUV.

S-FIL imprint masks use a 1x technology as opposed to 4&#215 reduction masks used in optical lithography systems. However, the S-FIL process is completely free of design rule restrictions, whereas the 4&#215 masks used in optical lithography make use of sub-resolution OPC features down to 1.5&#215&#8212making 1&#215 masks a far less daunting prospect than once viewed. In addition, 1&#215 masks can be replicated to reduce their cost. A single master mask is made using standard mask production technology (6025 glass, e-beam write, etch, inspection). This “mother” mask can then be used to print additional “daughter” masks, which are used to equip multiple imprint tools in a manufacturing line. In contrast, optical lithography technologies must use master masks for each exposure tool.

The combined advantages of low capital cost, single step lithography and replicated masks makes the total CoO for S-FIL technology much lower than optical and EUV lithography alternatives. In fact, as shown in Fig. 3, imprint lithography even has the potential to reduce lithography cost at 22nm to below that incurred with a single exposure optical lithography process at 45nm.

Defectivity
Demonstrating low defectivity is a crucial requirement for any new process technology. Dramatic improvements in the defect levels for S-FIL have been demonstrated over the past four years, as shown in Fig. 4.[9]. These results, averaging approximately an order of magnitude improvement each year, closely parallel the learning curve for immersion lithography, but tracking about two years behind. This is an important comparison, since immersion is the most recent major lithography change and is somewhat similar to S-FIL in terms of defectivity, since both must deal with the issue of patterning through a liquid.

4. Defect density data for S-FIL imprint lithography, compared to immersion lithography.

Contributors to defectivity in S-FIL include mask defects, micro-bubbles, particles, and wafer and material contamination, all of which occur in immersion lithography and have been addressed in the past&#8212resulting in defect learning from which S-FIL has benefited. Specific to S-FIL are defects related to preferential adhesion of the exposed resist to the imprint mask, which will leave a void in the pattern. However, such defect mechanisms have been virtually eliminated by optimizing the mechanical properties of the resist and the release performance from the imprint mask.

Based on the data and improvements seen to date, S-FIL appears on track to reach defect levels required for CMOS manufacturing. However, the final improvements necessary to achieve that goal will be demonstrated by tools installed in CMOS fabs.

Compatibility with existing CMOS processes
Any new technology must be compatible with the existing processes upstream and downstream in the process flow. CMOS device fabrication and process integration is much too complex an operation to allow wholesale changes to accommodate any new technology, no matter how attractive. S-FIL meets this compatibility requirement. It is a literal “drop-in” complement for existing optical lithography.

The patterned imprint resist possesses similar aspect ratios and relative etch rates as today’s 193nm resists and the wafers require no special pre- or post-processing techniques beyond those already available in existing fabs. S-FIL systems can be mix-and-matched with existing 193nm scanners, with field sizes up to 26mm×32mm. In addition, the imprint masks are manufactured by the same suppliers that produce today’s photomasks using the same processes, but with the additional advantage of no OPC or mask error enhancement factor (MEEF) issues.

Evidence of this compatibility was demonstrated in 2007 by IBM, in collaboration with the Advanced Technology Development Facility (ATDF), KLA-Tencor, Applied Materials, Hoya and Dai Nippon Printing. In work directed at addressing multiplexing issues associated with high-density cross-point-style non-volatile memory, electrically functional 30nm FinFET devices were produced [10]. S-FIL was used to define the critical fin features in a seven mask process that required imprint lithography to mix-and-match overlay to conventional 193nm optical lithography, to ∼20nm. The imprinted features were plasma-etch transferred into an oxide hard mask to produce features exhibiting very good line-width roughness (<2nm) and CD uniformity. Images of the resulting pattern-transferred and CMOS-processed features are shown in Fig. 5.


5. SEM image of SFIL-defined silicon Fins and TEM image of processed Fins ready for ion implantation.

Other applications
The low cost and high performance of S-FIL has created other nanofabrication applications, several of which will likely precede the technology introduction into CMOS, providing significant manufacturing learning experience.

The hard disk drive industry, for example, is quickly taking advantage of the cost/performance characteristics of S-FIL. Like CMOS, this industry needs to use smaller memory bits to enhance its storage capacity each year, with hard disk companies targeting an areal density of 1 terabit/in&#178 around 2010 with a continued 50% density compound annual growth rate through the next decade. Below about 25nm, the bits have to be patterned and etched into the disk media to prevent thermal instability while improving the signal to noise. At the same time, the industry cost structure dictates that the costs of patterning (litho, etch, planarization, etc) add no more than &#8764$2 for each double-sided disk. Further, to meet the volume demands at a low CoO, lithography tools must be capable of producing >600 double-sided disks/hr. These demands are daunting: 10 trillion sub-25nm pillars printed on both sides of the disk at 600 disks/hr. Only S-FIL imprint lithography has the capability to meet these requirements.

Because S-FIL offers the potential to produce high volumes of precision nano-dimensioned features and parts at very low cost, a host of potential applications in biotechnology, optics, and clean/green technologies await on the horizon. For example, by replacing traditional incandescent bulbs by 2020, solid state lighting is expected to save 50 tera watt hours (TWh) of power and 60 million tons of carbon per year. The keys to accomplishing this are increasing the brightness and efficiency of light emitting diodes (LEDs), which imprint lithography can enable by printing a nano-scale photonic crystal on the surface of the LED.

Conclusion
In less than seven years, imprint lithography has emerged as a leading candidate to extend and complement optical lithography in semiconductor memory applications cost-effectively. It offers layout designers the opportunity to return to the days before “design for manufacturing” (DFM), eliminating OPC, phase shift mask (PSM), and the massive computer farms supporting computational lithography. It offers 22nm half-pitch resolution while retaining 193nm lithography CoO, along with single exposure and single etch, while eliminating costly resist tracks. And it can be comfortably added to existing optical lithography cells in a mix-and-match environment.

Acknowledgments
Step and Flash and S-FIL are registered trademarks of Molecular Imprints Inc.

References

1. C.M. Melliar-Smith, “Lithography Beyond 32nm: A Role for Imprint?,” Proc. of SPIE Advanced Lithography, Vol. 6517, xxi (2007).
2. F. Hua et al., Nano Letters, 4, 2467 (2004).
3. I. Yoneda et al., “Study of Nanoimprint Lithography for Applications Toward 22nm Node CMOS Devices,” Proc. of SPIE Advanced Lithography, Feb. 2008, Vol. 6921, 6921-03.
4. Kim, K.T. et al., “Full-field Imprinting of Sub-40nm Patterns,” Proc. of SPIE Advanced Lithography, Feb. 2008, Vol. 6921, 6921-05.
5. F. Houle et al., “Chemical and Mechanical Properties of UV-cured Nanoimprint Resists,” Proc. of SPIE Advanced Lithography, February 2008, Vol. 6921, 6921-10.
6. W. Tong et al., “Hybrid Circuit of CMOS and Crossbar Nanowires by Nanoimprint: Semiconductor Nanowire Interconnects (SNIC),” Proc. of SPIE Advanced Lithography, February 2008, Vol. 6921, 6921-08.
7. M. Hart, “Step-and-Flash Imprint Lithography for Storage-Class Memory,” Intl. Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication (EIPBN), June 2007 and IEEE Lithography Workshop, December 2007.
8. I. Yoneda, op.cit.
9. I. McMackin et al., “High-resolution Defect Inspection of Step and Flash Imprint Lithography for the 32nm Node and Beyond,” Proc. of SPIE Advanced Lithography, February 2008, Vol. 6921, 6921-86.
10. M. Hart, op.cit.

Biographies

C. Mark Melliar-Smith received his BS and PhD in chemistry from Southampton University (England) and an MBA from Rockhurst College in Kansas City, and is CEO at Molecular Imprints Inc., 1807 West Braker Lane, Building C-100, Austin TX 78758-3605 USA; ph.: 1-512-339-7760; e-mail mark (at) molecularimprints.com.

S.V. Sreenivasan received his B. Engr in mechanical engineering from the National Institute of Technology, Trichy (India), and his PhD in mechanical engineering from Ohio State U. He is a co-founder and CTO of Molecular Imprints Inc. He is also the Thornton Centennial Faculty Fellow in engineering at the University of Texas at Austin.

JUNE 10, 2008 — CHICAGO, IL — M+W Zander, a leading architecture, engineering, and construction management firm for high-tech production plants, manufacturing facilities, and research complexes, has been selected by the international Iberian Nanotechnology Laboratory (INL) to design its new nanotechnology center in Braga, Portugal.

Located on the campus of the University of Minho in Braga, the 230,000-sq.-ft. project (21,400 m2) will feature Class 100 and Class 1000 cleanrooms, central characterization, including electron and scanning-probe microscopy, and labs suited for a wide range of scientific disciplines.

Besides the main scientific building that will be the hub of the 12-acre campus, the first phase of the project includes residential accommodations for staff and visiting professors. The second phase will include a business incubator and a nanotechnology interpretive center. When completed in 2009, the INL will employ several hundred people, including 200 scientific researchers.

In addition to its leading-edge science, the INL also will stand out for its striking design, with curving lines that dramatically conform to surrounding topography and offer a compelling contrast to traditional research facilities. “When we were tasked with creating a beautiful place for thinking, we knew we had our inspiration for the project. It was easily the most poetic aspiration for architecture we’ve ever heard. This evoked images of monastic cloisters, and naturally suggested using the project to shape and protect such a space from its busy, urban setting,” says M+W Zander architectural design leader Ken Filar, AIA.

The efficient, aesthetic design of INL, which was chosen in a competitive review process, resulted from the creative collaboration between M+W Zander’s U.S. and European offices. The architectural design and construction management are led by M+W Zander US Operations. M+W Zander’s European staff, based in Stuttgart, Germany, the corporate home of M+W Zander, will share engineering responsibilities with the North American office.

“M+W Zander’s unique strengths really shine in a project like this,” says John Busch, the project design manager for M+W Zander US Operations. “We not only have unmatched talent in the design of nano facilities, but we can synchronize the assets of multiple offices around the world to offer something no other firm can.”

The INL project confirms M+W Zander’s position as one of the world’s most experienced firms in design and construction of nanotech research facilities. It also expands M+W Zander’s global presence in this highly specialized field. INL joins the National Nanotechnology Laboratory in Moscow, part of Russia’s Kurchatov Institute, as an example of M+W Zander’s capacity to deliver top-tier nanotechnology facilities worldwide.

M+W Zander’s portfolio of nanotech projects also includes:

    LI>The Center for Nanoscale Materials, Argonne National Laboratory, Argonne, IL
  • The Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN
  • Albany NanoTech Complex, University of Albany-State University of New York, which was ranked No. 1 among global nanotech facilities by Small Times magazine in 2007
  • The Nanoscale Research Facility, University of Florida, Gainesville, FL
  • The Nanotechnology Research Center Building, Georgia Institute of Technology, Atlanta, GA, which will be the largest nanotech research center in the Southeast United States when completed this summer
  • The Neuroscience and Biomedical Technology Research Building, University of Utah, Salt Lake City, UT
  • The Research & Development Center Relocation and Renovation, Hitachi Global Storage Technologies, San Jose, CA

About M+W Zander
With its subsidiary companies, MWZ Beteiligungs GmbH, based in Stuttgart, offers worldwide integrated business solutions for company facilities, high-tech production plants and industrial complexes. The group focuses on the electronic, solar, pharmaceutical, chemical industries, research institutes, the energy sector, and production of cleanroom components. Facility management forms an additional focus. In 2007, M+W Zander generated sales of about 2.1 billion euros with around 8,600 employees.

Visit M W Zander

About M+W Zander US Operations
M+W Zander US Operations is a full service architecture, engineering and construction services firm based in Chicago. Operating in the U.S. since 1941, the firm specializes in complex, technically challenging projects for clients in electronics, life sciences, emerging technologies, and scientific research.

Visit M W Zander US Operations

Source: M+W Zander

JUNE 2, 2008 — OMAHA, NE and PRINCETON, NJ — HDR Architecture, a leading health-care design firm, and CUH2A, a science and technology (S+T) design firm, have announced their intent to merge to create the most comprehensive S+T design firm in the world. The merged company will assemble a pool of design expertise across the entire spectrum of the rapidly converging fields of life sciences research, physical sciences research, and health care.

The combined companies will employ nearly 1,700 architecture, engineering, and planning (AEP) staff members in more than 40 locations worldwide. With complementary portfolios across the academic, government, and corporate S+T market sectors, HDR and CUH2A will deliver integrated AEP services to an array of specialty markets including biomedical R&D, academic research, biocontainment, nanotechnology, vivaria, cleanrooms, and forensics. The merged business practices will provide knowledge and perspective in the emerging field of translational research with its “bench to bedside” facilities that combine research with clinical care.

“CUH2A’s science and technology portfolio provides immediate growth and diversity to HDR’s practice, while allowing us to penetrate even further into the health care markets in the Northeastern and Southeastern U.S., as well as the Middle East and other critical global locations,” notes HDR Architecture president Merle Bachman. “Merging our shared commitments to innovation and integrated project delivery will broaden our reach in a global landscape flattened by faster communication, shared knowledge, and accelerated client expectations.”

CUH2A president Scott Butler adds, “HDR adds internationally recognized specialization in areas that round out our S+T practice, and its extensive network of offices establish a platform to deliver our combined expertise around the world.”

Reflecting on the R&D industry, Butler says, “The world of science is changing. Our clients are crossing global boundaries and working across disciplines to create the big breakthroughs in research. The CUH2A and HDR alliance puts us in the best position to enable these trends. We will bring together unmatched knowledge in every area of science research for every part of the world.”

The merger allows each company access to previously impenetrable markets and regions. As a result, HDR and CUH2A will each fastforward their company’s strategic development by at least 10 years and will enable the combined company to focus further on the shared vision of bettering the human condition globally. The two companies create sustainable facilities that help researchers to discover cures for insidious diseases, health care providers to deliver medical solutions to enrich people’s lives, and prestigious government agencies to defend communities against biothreats.

The union is expected to be completed by the end of June. Once the deal is completed, the science and technology groups of each company will merge into one business unit. Executives from HDR and CUH2A will form an integrated management team for the combined science and technology unit, which will be led by CUH2A’s Scott Butler.

About HDR
HDR Architecture is an operating company within HDR, Inc. with approximately 1,300 employees. The parent company, HDR, Inc. is an employee-owned architectural, engineering and consulting firm that excels at the design and management of complex projects. Approximately 7,000 professionals, in more than 160 locations worldwide, pool their strengths to provide solutions beyond the scope of traditional A/E/C firms. Well-known as an innovator in building design for science and technology research, HDR is also ranked as the No. 1 healthcare design firm by Modern Healthcare magazine in 2008, marking an unprecedented fifth consecutive year in the top spot. HDR was also named a “Best AEC Firm to Work For” by Building Design & Construction magazine in 2008.

Visit HDR Architecture

About CUH2A
With more than 400 employees, CUH2A architects, engineers and planners design the most advanced science and technology facilities in the world. Since its founding in 1962, CUH2A has designed over 60 million square feet of research and development space. The firm serves academic, corporate and government clients worldwide from offices in Atlanta, Dubai, London, New York, Princeton, San Francisco and Washington, DC.

Visit CUH2A

By Gail Flower, Editor-in-chief

ORLANDO, FL —Everything about the Freescale Technology Forum Americas conference, held June 16 & 17, at the JW Marriott and Ritz Carlton Conference Center in Orlando, provided the attendee an opportunity to interact with the Freescale community. Even the badges for attendees were small circuit boards that produced a rotating billboard sign in small LEDs: “Freescale FTF – 2008”.

Rich Beyer, Chairman and CEO, Free-scale Semiconductor, Inc., welcomed the attendees. “Traditional market boundaries are beginning to blur, and it is important to gain insight in to converging market segments,” he said.

FTF provided a unique opportunity to experience industry-leading embedded processing and connectivity solutions markets such as automotive, consumer, industrial, mobile communications, medical, and networking applications.Monday began with a series of presentations and panel discussions and ended with a reception in the Technology Lab, a set up of posters and demonstrations from Freescale and many other partner sponsors. At the entrance you could play air hockey against a robot, which was mainly embedded with algorithms to act in a defensive mode. Partners such as Digi-Key, Cadence, and Mentor Graphics had posters and small demos. University-based research sponsored automobiles as demos also to show how fuel usage could be optimized through boards filled with advanced packages controlling the functions more efficiently in the average-Joe family mobile.

New product announcements from Freescale included the Flexis AC microcontroller family optimized for the large appliance market, the eight-core processor Q or IQ P4080 communications processor for performance and power efficiency of multicore processing, and three Power Architecture microcontrollers for addressing a broad range of cost-sensitive in-vehicle applications requiring 32-bit performance.

“Our goal at FTF is to provide an environment for inspiration and collaboration that will help unleash your imagination.” noted Beyer. Mission accomplished.

June Names in the News


June 30, 2008

(June 30, 2008) It was a month for names in the news as acquisitions and evolving business strategies inspired executive appointments and reorganization; industry organizations added members and directors, and books got published. Company announcements came in from JP Sercel Associates, TRUMPF, Dage Precision Industries, ECD, Jordan Valley, Rogers Corp., Formfactor, Unisem Group, Mentor Graphics, and Alchimer.

******

J. P. Sercel Associates (JPSA) announces the opening of a customer service and support office in Malaysia to focus on the combined territories of Malaysia, China, and Singapore, and provide service, installations, and training, and will stock spare parts for customers of JPSA’s laser workstations for wafer processing and micromachining.

Chuan Ki (C.K.) Foo, a direct JPSA employee based in Penang will run the center. Foo holds a Diploma in Electronic and Mechanical Engineering Technology from the Silicon Institute of Technology, Penang, Malaysia. He previously served as Senior Field Service Engineer for the Malaysia installation of Besi Die Handling, Laurier & Datacon divisions.

******

James Rogowski was appointed managing director of TRUMPF Canada shortly after the company acquired the remaining shares of Advanced Fabricating Machinery, which serves the Canadian market as a sales and spare parts facility for TRUMPF products. Rogowski will reportedly manage day-to-day operations and all sales and service activity in Canada. Rogowski is a 10 year verteran of TRUMPF, serving in various positions, most recently as product manager of 2D laser machines and automation.

*******
The High Density Packaging User Group International, Inc. (HDP), a global non-profit cooperative research and development organization for the Electronics industry, announces that Huawei Technologies, Inc. has joined the organization as an Executive Member. Huawei will participate in HDP User Group’s technical programs, and will also have a seat on the Board of Directors. HDP is dedicated to “reducing the costs and risks for the Telecommunications and Computer industries when using advanced electronic packaging and assembly”. This international industry led group organizes and conducts R&D programs to address the technical issues facing the industry, including design, printed circuit board manufacturing, electronics assembly, and environmental compliance.

******

Dage Precision Industries reports that Hal Hendrickson, general manager, and national sales manager for the Americas was elected to the Surface Mount Technology Association’s (SMTA) Board of Directors. Hendrickson has been an active member of SMTA since 1991 and has been actively involved in the electronics industry since 1974. His goal in serving on the SMTA Board of Directors is reportedly to help the association achieve its full potential and success as a training and networking organization as well as to expand into new areas of global involvement.

*******

ECD of Milwaukie, OR, has selected Kroschewski Industrial Electronics (KIE) GmbH as the distributor of ECD’s full line of thermal profiling products in Germany and Austria. After the company announced it had become a full-line distributor at SMT/Hybrid/Packaging in Nuremberg, KIE GmbH is led by Jascha Kroschewski, who holds a Dipl.-Betriebswirt Geschaftsfuhrung degree. Previously with Peter Jordon GmbH for thirty-five years, Mr. Kroschewski is well known in the industry, and brings a wealth of experience to serve ECD’s customers across a wide range of applications.

*******

Metrology solution provider, Jordan Valley Semiconductor, Ltd., has tapped Meir Mimon as worldwide VP of sales and marketing. Mimon will lead Jordan Valley’s aggressive growth strategy, with a focus on enhancing worldwide customer support operations and increasing Jordan Valley’s market share in the thin film and materials characterization metrology market segments. The announcement follows Jordan Valley’s recent acquisition of Bede, effective April 14th, 2008. Bede supplies HRXRD (High Resolution XRD) metrology for the semiconductor and compound industries. Mimon joined Jordan Valley in January 2008 as the VP of Business Development, responsible for the Bede acquisition project ended in Apr 2008 when JV acquired the assets, IP’s and technology of Bede, UK. Previously, he served as the corporate sales director for KLA-Tencor’s metrology group and overlay division based in San Jose, CA.

******

Rogers Corporation recently appointed Michael D. Bessette, V.P. to lead its Advanced Circuit Materials Division (ACMD) in Chandler, Arizona. A 33-year veteran of Rogers, He comes to the ACMD from Rogers’ Durel Division, also located in Chandler. Previously, Bessette worked at Rogers’ R&D department in Connecticut, where he was responsible for new product development and business commercialization, and also in Japan, where he headed up Rogers’ joint venture with INOAC Corporation, which manufactures Rogers’ elastomer materials.
Robert Wachob, President and CEO of Rogers Corporation, says this appointment comes at a critical time as the company strives to accelerate growth in that division. Rogers ‘ ACM Division manufactures high performance laminates and thin dielectric circuit materials for use in high frequency applications in a variety of global industries, including wireless base station, defense/aerospace, automotive, high speed digital, and advanced chip packaging.

******

Formfactor’s board of directors appointed Mario Ruscev, currently president, as its next CEO. Ruscev, 51, will succeed Igor Khandros, 53, FormFactor’s founder, who will become executive chairman of the FormFactor board of directors. Khandros will succeed Jim Prestridge, 76, its current chairman, who will remain on the FormFactor board of directors and become its lead independent director. The changes will become effective at the beginning of the company’s fiscal third quarter of 2008. Speaking on behalf of the BOD, Prestridge ascertained that the Khandros and Ruscev are the right team to lead the company through its next stage of growth.

******

Earlier this month, Unisem Group announced the resignation of Bruno Guilmart, executive director and group CEO in order to pursue other interests. His resignation was accepted by the board of directors and took effect June 4 2008. C.H. Ang, group COO, will be taking over all of Guilmart’s responsibilities and will be leading Unisem moving forward. Unisem reportedly does not anticipate any additional changes to the group’s organizational structure, nor does the company anticipate disruptions its daily operations.

*******

Alchimer, the nanometric films for through-silicon via (TSV) metallisation company, has appointed Lenix as its representative in Korea. This exclusive agreement means Lenix is sole agent for Alchimer’s products in Korea. As such, the company’s formulations and process IP for its electrochemical deposition process will reportedly be available from Lenix. Lenix is owned and run by semiconductor industry veteran Sang-Sok Lee, president and CEO. Lee created Lenix earlier this year to focus on advanced 3D packaging and TSV technology. The company is also provides carrier tape as the final packing media of packaged ICs.

*******

Mentor Graphics Corporation announced the publication of a book written by Charles Pfeil, engineering director of Mentor’s Systems Design Division, entitled BGA Breakouts and Routing, Effective Design Methods for Very Large BGA. This publication reportedly explores the impact of dense ball-grid array (BGA) packages with high pin-count on PCB (printed circuit board) design, and provides solutions for inherent design challenges.

“Using a BGA is the most common method today for packaging a high pin-count or very dense application specific integrated circuits (ASIC) and field programmable gate arrays (FPGA). BGAs have been proved to be a reliable, cost-effective package while at the same time providing flexibility to address miniaturization and functional requirements,” said Pfeil. “However, as BGA density and pin count continue to increase, the designer’s ability to effectively design with the devices has not kept pace. Fortunately, significant advancements in PCB fabrication technology have enabled further miniaturization in the manufacturing process.”

These improvements, along with new software and design methods specifically for BGAs provide a means to successfully design using these devices.

June 27, 2008Nanocopoeia , a drug delivery company specializing in therapeutic coatings for medical devices, and WORLDiscoveries, a joint venture between Lawson Health Research Institute and The University of Western Ontario, have announced a broader agreement to co-develop the biomedical uses of arborescent polyisobutylene-based block copolymers.

This agreement comes a week after the two groups launched NanoInterventions, a cardiovascular joint venture partnership, at BIO International in San Diego.
Nanocopoeia will lead the development effort and WORLDiscoveries will participate as a partner in the market-targeted applications. The agreement includes an exclusive world-wide license, for all biomedical fields of use, for the polymer system that is the subject of US Patent No. 6,747,098 (2004) and nine other international issued patents.

Arborescent polyisobutylene-based block copolymers are branched polymers with unique properties for biomedical use. A major market opportunity is their use as a drug-eluting coating for medical devices such as coronary stents. When applied using Nanocopoeia’s proprietary ElectroNanospray process, the polymer provides a highly controllable matrix for drug delivery and has excellent physical properties.

For other applications, such as tissue implants, this family of polymers has many attributes in common with silicone materials but without the need of the additional chemicals that are required to formulate silicone-based devices.
Judit E. Puskas, PhD, P.Eng, led the team at Western that invented the family of polymers based on arborescent polyisobutylene. She held the Bayer/NSERC (Natural Science and Engineering Research Council of Canada) Industrial Research Chair at Western between 1998 and 2003, and is now Professor of Polymer Science at The University of Akron in Ohio. Dr. Puskas commented, “This polymer system has enormous flexibility in the way it can be applied, while remaining totally stable. That, together with the favorable biocompatibility profile of its building blocks, makes it an ideal interface with body tissues.” Dr. Puskas will participate in the joint development program.
Nanocopoeia’s drug delivery and coating technology uses the proprietary ElectroNanospray process to apply nanoparticle-based coatings. The unique process, which originated at the University of Minnesota, generates nanoparticles made of drugs and polymers and assembles them into films with controllable delivery.
The National Science Foundation’s research funding has played an important role in launching the collaboration. The commercial development of Nanocopoeia’s ElectroNanospray technology for biomedical applications has been supported through multiple SBIR Phase II and supplemental awards from the NSF Division of Industrial Innovation and Partnerships. In the course of that research, the Company’s search for novel coating polymer systems led them to Dr. Puskas, resulting in the ongoing productive collaboration. Dr. Puskas’ research is supported by the NSF Division of Materials Research.
“Based on our success in working with this polymer system, we believe it will have applicability for a broad range of biomedical uses,” says Nanocopoeia’s CEO, Robert A. Hoerr MD, PhD.
He further comments that a first use of the polymer has been as a prototype drug-eluting stent coating based on arborescent polyisobutylene-block-polystyrene (arbIBS) used by the joint venture NanoInterventions for its Mouse Stent Model. “Given the strong interest that NanoInterventions’ Mouse Stent Model generated at BIO, we believe the polymer will be a key element in helping us to define next generation of stent-delivered therapies,” he says.
NanoInterventions is a joint venture partnership that is launching the first rapid screening model for new coronary stent coatings, using a genetically modified mouse strain with human-like atherosclerosis, a mini- stent, and experimental coatings on the stent. Joint venture partners other than Nanocopoeia and Western include Stentome, LLC, an interventional cardiology company based in Palo Alto, CA, Oxford, UK, and Galway, Ireland, and The Integra Group, a medical research organization based in Brooklyn Park, MN, that provides a full range of preclinical and clinical development services. NanoInterventions was a featured company in the Positively Minnesota pavilion in the BIO International exhibition hall, from June 17-20, 2008.
Rob Herold, associate director of Western’s industrial liaison office, adds, “The innovative structure of our licensing partnership with Nanocopoeia lets us participate in the evolving healthcare applications of this polymer platform. WORLDiscoveries was structured to enable us work with highly entrepreneurial companies like Nanocopoeia and share in their upside potential, in addition to the standard licensing process that we have traditionally used. We have great confidence both in the technology and in our new partner.”

June 24, 2008Laser Focus World, the leading photonics-industry trade publication, and the Optoelectronics Industry Development Association (OIDA), a not-for-profit association that serves as the nexus for vision, transformation, and growth of the photonics and optoelectronics industry, recently announced a new conference and exhibition, OPTOmism: Photonics for the Green Revolution, to be held at the Santa Clara Convention Center in Santa Clara, California May 18-20, 2009.

As the global community increasingly recognizes the importance of energy conservation, thinking “green,” and low carbon footprints, the photonics and optoelectronics industry is gearing up to provide many of the solutions that will enable the “Green Revolution.” From highly efficient solid-state lighting to clean manufacturing using laser processing, and from new low-power display and communications technologies to photovoltaic energy generation, the photonics industry will deliver solutions that enable greater efficiency, smaller carbon footprints, and promote economic growth.

This new conference and exhibition will bring increased focus to the growing role that photonics is playing in global efforts to “live green.” A broad range of senior speakers from government, industry, and academia will enable attendees to find out about the technology, business, and regulatory aspects of this fast-growing field. Notes OIDA CEO Michael Lebby, “OPTOmism is not just about the future of photonics, but about the future of our planet and how photonics will support a ‘green’ lifestyle.” At OPTOmism, senior industry executives, researchers, engineers, and investors will have an opportunity to examine many of these technologies, explore their business implications, and review
technology roadmaps.

In addition, OPTOmism will attract companies that supply manufacturing equipment, materials, and components to related markets such as solid-state lighting, photovoltaics, and optical sensing. The green photonic components market will exceed $100 billion by 2010, according to the OIDA. “Nowhere else is there a gathering of these technologies and the people who deploy them–this is a must-see show for optoelectronics companies pushing a ‘green’ theme,” said Lebby.

Christine Shaw, Senior Vice President/Publishing Director of PennWell, said, “Photonics technologies have already penetrated almost every aspect of our daily lives and are fast becoming an increasingly important facet of the emerging green revolution. The launch of OPTOmism reflects this trend and the significance of photonics to the future of managing our environment and conserving global resources. OPTOmism will become the premier showcase for green technologies and the exciting new products they enable.”
This new event will kick-off with a one-day “Green Business” executive forum for senior decision makers from photonics and optoelectronics manufacturers, users and investors. Key topics will include “green” market overviews, market access, business models, and valued chain issues.

Integral to the launch of OPTOmism will be an awards program designed to recognize and promote excellence and innovation in the marketing, business, and technology of green photonics. “By recognizing leadership and rewarding creative solutions to the many aspects of supporting a green lifestyle, we hope to foster the growth of sustainable
technologies within the photonics arena,” said David Huff, VP of Marketing and Business Development at OIDA.

Shaw noted that the launch of OPTOmism is a perfect fit with PennWell’s already established brands that will be used to cross-market the event. “PennWell owns Industrial Laser Solutions magazine, Lightwave magazine and Renewable Energy World magazine. Recently strengthening in the renewables sector PennWell acquired renewableenergyaccess.com thus creating an entire franchise in the industry including the leading renewable energy website, the magazine, a U.S. based event and a European based event. PennWell also owns Strategies in Light, a U.S. based event that has drawn international attendance and grown exponentially over the last few years and recently
announced the LED Japan/Strategies in Light event that will be held in October of this year,” Shaw said.

For information on sponsoring, or exhibiting at OPTOmism, contact: Jay Novack, Event Director and Sales Director at 603-891-9186, or at [email protected]. For information on attending OPTOmism, visit www.optomismshow.com.

June 24, 2008Cypress Semiconductor Corp. has introduced the TrueTouch touchscreen solution based on the PSoC programmable system-on-chip architecture. The TrueTouch offering includes a single-chip touchscreen solution that can interpret up to 10 inputs from all areas of the screen simultaneously. This capability, known as “multi-touch all point,” enables designers to create new usage models for products such as mobile handsets, portable media players (PMPs), GPS systems and other products.
Examples of applications well-suited for multi-touch all point functionality include keyboard implementations, inputting multiple locations into a GPS, playing video games on a mobile handset, and making multiple adjustments to sound and/or video settings on a PMP.
In addition to the multi-touch all point products, the TrueTouch family includes devices that perform traditional touchscreen functions including interpreting single touches, and gestures such as tap, double-tap, pan, pinch, scroll, and rotate.
Touchscreens have become the user interface of choice for many applications. Touchscreen technology addresses the conflicting demands for smaller products with larger displays by eliminating traditional buttons without sacrificing screen size. They also enable users to manipulate new functions easily and intuitively by interacting directly with content on the screen. TrueTouch devices support “projected capacitive” touchscreens, which offer numerous benefits over touchscreens based on “resistive” technology. These advantages include optical clarity, durability, reliability and cost-effective implementation of multi-touch features.
“”Leading customers in a variety of markets, including smartphones, GPS and PMP devices, have worked with us to help define the TrueTouch family,” says Dhwani Vyas, vice president of PSoC products for Cypress. “We are leveraging our established leadership in the capacitive sensing markets to deliver the next generation of touchscreen solutions that offer user interface designers unparalleled flexibility and integration capabilities via the PSoC architecture.”
“Our research clearly indicates that projected capacitive touchscreens for consumer electronics devices are poised for strong growth,” adds Jennifer Colegrove, senior analyst, senior analyst and author of the “Touch Screen” 2008 report at market research firm iSuppli. “The TrueTouch family is targeted squarely at this segment.”
Because of the flexible and programmable TrueTouch architecture, customers can choose to work with a wide variety of touchscreen vendors and/or LCD module vendors to create their designs. In addition, the TrueTouch solution utilizes the PSoC architecture’s ability to integrate additional functions such as driving LEDs, backlight control and I/O expansion. These functions, in conjunction with flexible communication options (I2C and SPI), allow for unparalleled system integration for touchscreen systems.

June 23, 2008 – Automated test equipment providers Credence and LTX say they have agreed to merge their companies in a deal that they project will save them ~$25M. While bullish on their combined prospects, investors seem to think otherwise.

Under terms of the deal, the combined firm will be led by mostly LTX execs — notably president/CEO David Tacelli, Mark Gallenberger VP/CFO, and five directors vs. four for Credence (whose own president/CEO Lavi Lev will assume chairman duties “for a transitional period,” with the same designation given to Credence CFO Casey Eichler). Credence shareholders, though, will hold a slightly higher percentage of the combined entity (50.02% vs. 49.98%). Calculating the exchange ratio based on shares outstanding as of June 20, each Credence common stock would be converted into ~0.6133 LTX shares.

“This merger, from a technical and business point of view, represents the logical next step for both companies’ long-term growth,” said Lev, in a statement. He pointed to combined strengths in RF, digital, mixed-signal and analog, in a “complementary product portfolio” for high-volume integrated device testing. “From a business perspective, the merger broadens our customer base and provides a strong opportunity for growth,” he added.

“Now more than ever, it is vital we deliver cost optimized test solutions focused on our customers’ specific technologies, product mix and device volume levels,” noted LTX’s Tacelli. “We believe the timely merger of Credence and LTX enables us to build a test company with the financial strength, growth opportunities, critical mass, and operational efficiency to lead the industry as it faces these challenges.”

Gallenberger noted that the merger should help the firms save $25M annually in “efficiencies associated with operating a larger business,” once integration is completed. The deal is expected to be accretive (non-GAAP), excluding restructuring charges, within 12 months of combined operations.

While Credence and LTX say the combination will broaden growth opportunities, investors aren’t as optimistic. Message-board investor reax was intensely negative on the LTXX side, suggesting the two companies compete in the same market segments with little R&D/test compatibility, suggesting technology/product overlap and eventually elimination. Some of the pithier comments: “The management is going to have to decide which customers they’ll [expletive] off.” And: “It will be a long while before the combined operation will see black ink.”

June 18, 2008The U.S. Display Consortium (USDC), a public/private partnership chartered with developing the flat panel display (FPD) and flexible electronics industry infrastructure, today announced a significant expansion to its 8th annual Flexible Electronics and Displays Conference, which returns February 2-5, 2009. In addition to the three-day market and technical tracks, the conference now features a business investment summit and a variety of short courses.

The business investment summit, which will be held Monday, February 2, 2009, will address issues of relevance to industry innovators, manufacturers and investors in the flexible and printed electronics market. The all-day event will feature visionary and pragmatic talks from invited speakers, market research firms, investment banks and venture capital firms. Business plan presentations by public and private companies will round out the summit. “Connecting potential customers with product developers and the financial community is the theme for this inaugural business summit,” noted Dr. Kevin Cammack, USDC’s director of technical marketing and development, and organizer of the event. “The agenda is geared to stimulate discussion on near-term applications; challenges in getting products to market; and, the dynamics of constructing a profitable business model,” he added.

Multiple short courses are being planned prior to the conference opening in response to enthusiastic demand from previous short course offerings. The courses will reflect the variety of technologies being developed in flexible, printed electronics and will offer an excellent opportunity for collaboration between industry and academia. Six half-day courses, running concurrently, will be held on Monday, February 2, 2009.

Abbie Gregg of Abbie Gregg Inc. and Dan Gamota of Motorola return as the conference co-chairs. They are joined by Dieter Schroth, managing director of EMD Chemical’s new Materials Research Lab. According to Schroth, “USDC’s Flex Conference has evolved to become the leading North American event to learn about the latest developments in the emerging market in flexible and printed electronics. I’m delighted to be part of the team organizing the expanded conference.”

The 2009 Flex Conference will include three distinct tracks – a Fundamental Research Track with peer-reviewed abstracts and a full technical paper requirement (published by IEEE); a Business, Markets, Applied and Developmental Research Track with committee-reviewed abstracts; and a Student Research Poster Track with peer-reviewed abstracts, along with a competition for best poster. Conference sessions will focus on the emerging field of flexible, printed and organic electronics manufacturing, including printing processes and technologies, photovoltaics, solid-state lighting, OLEDs, RFID, sensors and flexible display applications and markets. A Call for Papers will be issued in early July 2008.