Category Archives: Semiconductors

Jon Peddie Research (JPR) announced estimated graphics chip shipments and suppliers’ market share for 2013 Q1. The news was disappointing for Intel, but encouraging for Nvidia and for AMD on the desktop.

AMD lost 0.3 percent, quarter-to-quarter, Intel slipped 5.3 percent, and Nvidia increased by 3.6 percent.

The overall PC market declined 13.7 percent quarter-to-quarter while the graphics market only declined 3.2 percent reflecting an interest on the part of consumers for double-attach—the adding of a discrete GPU to a system with integrated processor graphics.

On a year-to-year basis, JPR found that total graphics shipments during Q1’13 dropped 12.9 percent similar to PCs shipments declined by 12.6 percent overall. GPUs are traditionally a leading indicator of the market, since a GPU goes into every system before it is shipped and most of the PC vendors are guiding down to flat for Q2’13.

The popularity of tablets and the persistent economic malaise are the most often mentioned reasons for the altered nature of the PC market. Nonetheless, the CAGR for PC graphics from 2012 to 2016 is 2.6 percent, and we expect the total shipments of graphics chips in 2016 to be 394 million units.

The ten-year average change for graphics shipments for quarter-to-quarter is a growth of -2.2 percent. This quarter is below the average with a 3.2 percent decrease.

JPR’s findings include discrete and integrated graphics (CPU and chipset) for desktops, notebooks (and netbooks), and PC-based commercial (i.e., POS) and industrial/scientific and embedded. The JPR report does not include handhelds (i.e., mobile phones), x86 Servers or ARM-based tablets (i.e. iPad and Android-based Tablets), smartbooks, or ARM-based servers. It does include x86-based tablets.

The quarter in general

  • AMD’s quarter-to-quarter total shipments of desktop heterogeneous GPU/CPUs, i.e., APUs jumped 30 percent from Q4 and declined 7.3 percent in notebooks. The company’s overall PC graphics shipments slipped 0.3 percent.
  • Intel’s quarter-to-quarter desktop processor-graphics EPG shipments decreased from last quarter by 3 percent, and Notebooks fell by 6.3 percent. The company’s overall PC graphics shipments dropped 5.3 percent.
  • Nvidia’s quarter-to-quarter desktop discrete shipments were flat from last quarter; and, the company’s mobile discrete shipments increased 7.6 percent. The company’s overall PC graphics shipments increase 3.6 percent.
  • Year to year this quarter AMD shipments declined 29.4 percent, Intel dropped 8.8 percent, Nvidia increased 3.6 percent, and VIA fell 8.4 percent from last year.
  • Total discrete GPUs (desktop and notebook) were up 1.1 percent from the last quarter and were down 11 percent from last year for the same quarter due to the same problems plaguing the overall PC industry. Overall the trend for discrete GPUs is up with a CAGR to 2016 of 2.6 percent.
  • Ninety nine percent of Intel’s non-server processors have graphics, and over 67 percent of AMD’s non-server processors contain integrated graphics; AMD still ships IGPs.

Year-to-year for the quarter the graphics market decreased. Shipments were down 15.8 million units from this quarter last year.

Graphics chips (GPUs) and chips with graphics (IGPs, APUs, and EPGs) are a leading indicator for the PC market. At least one and often two GPUs are present in every PC shipped. It can take the form of a discrete chip, a GPU integrated in the chipset or embedded in the CPU. The average has grown from 1.2 GPUs per PC in 2001 to almost 1.4 GPUs per PC.

This year’s SEMICON West front-end processing TechXPOTs on lithography and transistors below 20nm will provide critical updates on how technologists are coping with the next scaling challenges. This article is based on commentary on extending optical lithography and the outlook for DSA from TechXPOT speakers.

It’s no secret that the industry is still waiting for EUV lithography’s full potential to be realized and that the continued extension of optical lithography, specifically 193 immersion lithography, has enabled the industry to keep scaling. As ArF capabilities are extended, sophisticated illumination systems will be crucial in order to satisfy aggressive CD requirements, Stephen Renwick, senior research scientist at Nikon Research Corporation of America, told SEMI. 

Computational lithography solutions that maximize process windows, provide robust OPC strategies across tools, as well as the means to compensate for lens and thermal aberrations become increasingly vital,” said Renwick, who will present at SEMICON West on July 10.

Nikon’s latest iteration of its immersion scanner, the Streamlign Platform, enables mix-and-match overlay (MMO) accuracy ≤3.5nm to satisfy the stringent requirements for advanced multiple patterning applications sub-20nm. Renwick also explained that stable overlay performance under different exposure conditions is imperative for high-volume manufacturing. Figure 1 shows the critical layer requirements beyond 20nm with respect to mix-and-match overlay and focus accuracy. Renwick’s TechXPOT presentation will cover a number of advanced overlay control solutions that aid in grid matching/stabilization control, compensate for reticle deformation, and provide overlay analysis/optimization capabilities.

critical layer properties beyond the 20nm node
Critical layer requirements beyond 20nm. Overlay and CD control are essential for next-generation. SOURCE: Nikon Precision Inc.

Outlook for DSA

Directed self-assembly (DSA) – an alternative patterning technology that enables frequency multiplication through the use of block copolymers – was also a hot topic at last year’s SEMICON West.. When used in conjunction with an appropriate pre-pattern that directs the orientation for patterning, DSA can reduce the pitch of the final printed structure. It is expected that DSA could be used to repair defects and repair uniformity in the original print, particularly when used in conjunction with EUVL. Stefan Wurm, director of lithography, at SEMATECH, told SEMI that as an assist technology to EUVL, DSA is very real.

“The most likely scenario is that EUVL will be used first for contact hole patterning and the issue there is non-uniformity of contact holes,” said Wurm. “So, DSA is being used for contact rectification. It’s a given that some version of DSA as an assist technology will come into fabs once EUV starts getting used.”

Ben Rathsack, strategic marketing and technology manager at Tokyo Electron, told SEMI that DSA has great potential as a complementary technology beyond the 16nm hp technology node. The company is leveraging new materials and processes on advanced track, etch, and cleaning systems to enable DSA for applications such as fins for FinFETs, sub-20nm vias, and defect reduction. Among the advantages of DSA is its ability to provide “healing” for CDU/LWR problems, preventing line pattern collapse, and improving the process window (dose, focus). Additionally, hole shrink from 90nm down to 30nm has been demonstrated (Muramatsu, et al., “Lithography Processes Using Directed Self-Assembly,” MNC Conference, 2012).

defect inspection break down
Figure 2. Defect inspection break down (15 die 100% review). SOURCE: TEL

Defects have been a major concern of the industry with using DSA, but Rathsack has reported data (Figure 2) showing that in a 100% review of 15 die, DSA failures accounted for only 2.8 percent of the total number of defects. The company inspected only 15 die to save time, but Rathsack explained that they haven’t seen a defect signature that’s wafer-location specific, i.e., the defects tend to be uniformly distributed across the wafer.

“We suspect that the DSA-specific defects are caused by material (polymer) impurities and assembly errors during cure,” Rathsack told SEMI. “To put this in perspective, initial immersion lithography defects were in the 10K/wafer range – once in production, defects dropped to under 10K/wafer. Since this is preliminary defect data, we expect similar improvements by the time DSA goes into high-volume production.” 

block co-polymer bake optimization
Figure 3: Block copolymer bake optimation. Source:TEL

Block copolymer bake optimization can be used to improve DSA process defect levels and throughput (Figure 3).

“Bake/cure seems to be the biggest factor in impacting defects,” said Rathsack. Though he pointed out that longer and higher temperature bakes, while lowering defects, comes at the expense of throughput. “Our efforts are now directed at cure processes that lower defects, yet maintain high throughput.”

The DSA insertion point is still years out, according to Rathsack, with integration and other issues still needing to be resolved. 

Learn more about front-end challenges at SEMICON West 2013 and hear from the experts — live!  Registration includes access to the exhibition hall plus all TechXPOT sessions, keynotes and executive panels. Register for SEMICON West 2013 through June 7 for only $50: www.semiconwest.org/registration

Can PC makers produce ultrathin, touch-screen PCs that are appealing to consumers—and that are priced at just $200?

The astounding answer seems to be yes—if microprocessor Intel Corp. is willing to cut the price of its semiconductor components to PC makers, according to a PC Dynamics Market Brief from information and analytics provider IHS.

Speaking at the IHS/SID 2013 Business Conference, held May 20 in Vancouver, Canada, Zane Ball, Intel vice president and general manager, Global Ecosystem Development, is presenting his company’s plan to empower the PC industry to produce low-cost notebooks incorporating touch technology. Craig Stice, senior principal analyst for compute platforms at IHS, believes Intel has a shot at success.

“A price point that low seems far-fetched considering the mobile PC prices of today, with Ultrabooks and other ultrathins going as high as $1,000 or more,” Stice said. “However, the small laptops known as netbooks saw their prices reach down into the $200 range at the height of their popularity a few years ago, and a cost analysis of netbooks shows how such a low level of pricing can be used to support a no-frills type of ultrathin PC.”

The cost estimate for a standard netbook, based on the IHS Compute Systems Cost Analyzer that calculates the major components of a netbook on a third-quarter 2013 timeline, comes out to $207.82, as shown in the table below.

standard netbook cost estimate

“Hitting this kind of price point is not impossible for the PC industry, already a cutthroat market accustomed to razor-thin margins,” Stice said. “Such a possibility was stated by outgoing Intel CEO Paul Otellini, who during Intel’s first-quarter earnings call in April made the bold prediction that touch-enabled, ultrathin Intel-based notebooks using non-core processors could be available by the end of this year.”

Intel holds the cards

The key factor that could make this happen is Intel, which can control up to 33 percent of the total bill-of-materials cost for the PC through the central processing unit (CPU) and motherboard. If Intel decides to provide a price break for just these components, PC original equipment manufacturers could see their margins improve, allowing them to drive down prices for the retail market. With PC competition so fierce, it takes only one PC manufacturer to find a price point that sells—and others are bound to follow suit shortly afterward.

Intel could also be instrumental in introducing an even more powerful ultrathin-type mobile PC than netbooks, which have now been overtaken by media tablets and are on their way out of the market altogether.

Intel’s next-generation Atom processor, called Bay Trail, has the potential to deliver a performance boost that will clearly separate the traditional netbooks of old from the new generation of mobile and ultrathin PCs.

Avoiding netbooks’ fate

While netbooks had limited computing power and were regarded more as devices for content consumption, the new and much more economical ultathins, in contrast, would possess considerably more power and be categorized as content-creation devices. Such a perceptible enhancement could increase their chances of survival in the marketplace, unlike the short-lived netbooks.

Much depends on Bay Trail, which Intel says will move from two processing cores to four to provide beefed-up performance. Along with Bay Trail, Intel’s own high-definition embedded graphics and an extended battery life for improved power will yield a processor bearing similar performance to the chipmaker’s renowned family of Core processors. All these traits could be part of the new, less expensive ultrathin being projected.

What PC manufacturers also must do

What these developments portend for the PC industry is significant. If the PC industry is able to get down to the $200 price point, and Intel’s Bay Trail processor delivers what it claims to do, then the PC market will have its much-needed shot in the arm. Such a turn of events could then spark the mobile PC market, which has been losing steam to flashier rivals like smartphones and tablets.

Besides Intel’s willingness to cut its own price point to make chips available at a lower cost to customers, a second important factor involves the PC makers themselves. For their part, PC manufacturers also need to find a way of getting to the magic price point of $200—and possibly sacrifice even more margin in exchange for the greater amount of volume that they seek.

All told, the scenario above—merely hypothetical at this point—is not entirely out of reach. A strong second half is already being forecast for PCs this year: add in the potential for lower-priced next-generation ultrathin systems, and the PC industry may finally have a valid competitor to lower-priced media tablets.

The new report from IDTechEx titled "Printed, Organic & Flexible Electronics: Forecasts, Players & Opportunities 2013-2023" finds that the total market for these technologies will grow from $16.04 billion in 2013 to $76.79 billion in 2023.

The sector includes profitable large sectors, the majority being OLEDs (organic but not printed) and conductive ink used for a wide range of applications. On the other hand, stretchable electronics, logic and memory, thin film sensors and other components are much smaller segments today, just emerging from R&D. A snapshot view of the technologies, development time, 2013 market size and general sector profitability and short term growth is shown below.

Source: IDTechEx report "Printed, Organic & Flexible Electronics: Forecasts, Players & Opportunities 2013-2023" (www.IDTechEx.com/pe). Note that in some cases above the value of the film is included and not the module value – see the report for more detail.

Billion-dollar sale successes

So far, there have been three billion-dollar sales successes; OLEDs, e-paper and conductive ink. OLEDs are seeing continual adoption in cellphones and OLED TV sales have begun this year. IDTechEx see much movement in the display sector, as panel makers try and distance themselves from losses in the LCD industry, caused by new competition from China, and seek to differentiate. The landscape will change – with some East Asian countries potentially unable to afford extensive R&D in OLEDs such as Taiwan and new entrants, such as China. E-paper sales have declined as e-reader sales have declined. To reach that sales peak again new markets are being explored as is color, video capable bistable displays. IDTechEx found that the overall conductive ink market size is in decline this year as it was last year, due to less use in the photovoltaic market. However, thereafter the market will increase again as the PV sector shakes-out and other markets for conductive inks continue to grow.

Companies reposition for profitability

Some companies have survived ten years without making substantial sales or any profit. Some of these are now repositioning from trying to do something very difficult, such as replacing complete existing devices, to simpler things, allowing them to move to market more quickly. Few can keep going after ten years of minimal sales. Examples of new focus include finely printed patterns for transparent conductive films (a $1.8 billion opportunity), improving the performance of lithium batteries (a $25 billion market), enabling supercapacitors for vehicles and consumer electronics ($0.8 billion in 2013) and adding 3D touch surfaces to many things, as Ford has done for its overhead consoles in some cars.

Some systems development but much more to be done

A few vendors are building ecosystems to develop complete systems – bringing together key enabling components and creating complete working devices. Watch Thinfilm, PARC, PST, PragmatIC and Soligie amongst others. For equipment manufacturers, it is notable that NovaCentrix and Muhlbauer have come together to provide a turnkey solution for RFID tag manufacture using copper ink for the tag antennas – now the purchaser does not have to try and build the disparate systems themselves. Still, there is quite a way to go. For example, even simply creating hybrid devices – part printed, part conventional on the same substrate is proving a challenge to automate.

Broadening topic

The topic is broader than many people realize. There is strong interest in printed electronics enabling part of the Internet of Things vision; researchers are working on bringing together 3D printing with electronics; bioelectronics; touch surfaces everywhere and much more.

New research report from IDTechEx

The IDTechEx report "Printed, Organic & Flexible Electronics: Forecasts, Players & Opportunities 2013-2023" (www.IDTechEx.com/pe) provides detailed analysis of all these aspects, including ten year forecasts. Researched by multilingual IDTechEx consultants based in four countries and three continents, this report builds on ten years of knowledge of the industry.

Samsung Electronics Co., Ltd., a provider of advanced semiconductor solutions, today announced the industry’s first 45nm embedded flash logic process development called eFlash. Samsung successfully implemented the new process into the smart card test chip, which means that this process technology fulfills the stringent quality requirements of the security solution market and can be successfully deployed on a commercial scale.

Samsung logo

“Samsung’s 45nm eFlash logic process has the potential to be broadly adopted into various components for security solutions and mobile devices, including smart card IC, NFC IC, eSE [embedded secure element] and TPM [trusted platform module],” said Taehoon Kim, vice president of marketing, System LSI Business, Samsung Electronics.

The smart card IC based on Samsung’s 45nm eFlash logic process guarantees high reliability and endurance of one million cycles per flash memory cell. The performance results are the industry’s best class and superior to any other solutions currently on the market, generally rated for 500,000 cycles.

Through the improvement in both flash cell structure and operating scheme, the test chip features random access time to read memory that is 50 percent faster and the power efficiency is enhanced by 25 percent over previous products built on the 80nm eFlash logic process.

Samsung said in its official release that its 45nm eFlash logic technology is suited for consumer microcontrollers and automotive chips that require higher speed, larger memory capacity and higher power efficiency.

Initial smart card IC samples for commercialization using this 45nm eFlash logic technology are expected to be available in the second half of 2014.

GT Advanced Technologies logoGT Advanced Technologies today announced that it has acquired substantially all of the business of Thermal Technology LLC for purchase consideration, consisting of approximately 3.4 million shares of GTAT common stock and an earn out. Located in Santa Rosa, CA, Thermal Technology develops and sells a wide range of high temperature thermal and vacuum products used in the fabrication of advanced materials that are deployed across multiple industries including smartphones and touch screens, LED, medical devices, oil and gas and automotive to name a few. Thermal Technology has delivered over 3,000 products to customers in over 40 countries since it was founded 60 years ago.

GT has acquired several key products and technologies that will allow GT to address new markets with a range of production equipment options. This includes annealing technology that the company believes will be important in the manufacturing of sapphire cover screens; crystal growth technology based on the Kyropoulous (KY) growth method and edge defined film growth (EFG) technology for large surface area sapphire. The company has also acquired Spark Plasma Sintering (SPS) technology, which allows dense ceramics to be obtained under uniform heating at relatively low temperatures and in short processing times. The SPS technology is expected to have a wide range of applications including with medical applications, sputtering targets, space applications and thermoelectric convertors for hybrid electric cars.

"The acquisition of the Thermal Technology business adds a number of innovative and important products and technologies to our rapidly diversifying portfolio that will, we believe, allow us to accelerate our entrance into new markets," said Tom Gutierrez, GT’s president and CEO. "The acquisition expands our served markets and complements several of our current product lines. In areas such as sapphire crystal growth, we can now offer customers a wider range of product options best suited to their specific production environments. This will enable us to compete for incremental business in areas where we would have previously been unable to offer a product."

"This is an exciting moment for the company, our employees and our customers," said Matt Mede, president and CEO of Thermal Technology. "The acquisition will open new opportunities for growth for our products and technology as we integrate them into GT’s business operations. Leveraging GT’s leadership in engineering and product development and their strengths in low-cost global supply chain management will accelerate the time-to-market of our technology to drive market adoption in several promising markets as we go forward. Customers will also benefit through GT’s global service and support capabilities."

GT does not expect the acquisition to materially impact its CY13 revenue or earnings guidance range. The anticipated revenue contribution from the Thermal Technology business in the balance of FY13 is approximately $9 to $14 million. GT expects minimal impact on earnings per share as expenses and the increase in share count will limit the contribution during the initial year of integration.

ProPlus Design Solutions, Inc. announced today that Semiconductor Manufacturing International Corporation has deployed ProPlus’ NanoYield High-Sigma (HS) within its advanced technology development flow.

SMIC is using NanoYield to optimize its Static Random-Access Memory (SRAM) for 28nm process development, as well as 20nm and beyond. NanoYield, a yield prediction and optimization software for memory, logic and analog circuit design, was launched last year by ProPlus, a provider of SPICE modeling solutions and design for yield (DFY) applications.

"NanoYield has become an important component of our development flow," remarks Dr. ShiuhWuu Lee, executive vice president of Technology Development at SMIC. "With NanoYield, we were able to improve the process and reduce the time to achieve our yield targets."

SRAM yield is limited by local process variations that become more severe at advanced technology nodes, especially at 28nm and beyond. Traditionally, foundry engineers need to run different process splits and analyze results to understand variation behavior of the process and its impact on SRAM yield, adding to process development time and cost. With NanoYield HS-Pro technology, engineers can quickly predict and verify SRAM yield to Six Sigma during early process development by running a series of simulations that represent different process adjustments.

ProPlus Design says NanoYield HS-Pro technology, which is licensed from IBM and enhanced by ProPlus, is silicon validated and can reduce traditional Monte Carlo simulation time for high-sigma analysis by several orders of magnitude. With NanoYield, ProPlus believes foundries can develop advanced process technology with better cost control and improved time to market, offering the best possible product yield to their customers.

Additionally, SMIC and ProPlus are working on a long-term strategic partnership, a direct result of the close cooperation between their engineering teams. Previously, the companies collaborated on advanced SPICE modeling, extended to include process technology development and design flow enhancement for yield improvement. The partnership agreement will include support for SMIC’s technology development for fast turnaround and better yield, as well as to provide better products and solutions to SMIC and ProPlus’ customers.

Semiconductor Manufacturing International Corporation is one of the largest and most advanced foundry in mainland China, providing integrated circuit (IC) foundry and technology services at 0.35-micron to 40nm. Headquartered in Shanghai, China, SMIC has a 300mm wafer fabrication facility (fab) and a 200mm mega-fab in Shanghai, a 300mm mega-fab in Beijing, a 200mm fab in Tianjin, and a 200mm fab project under development in Shenzhen. SMIC also has customer service and marketing offices in the U.S., Europe, Japan, and Taiwan, and a representative office in Hong Kong.

Vishay Intertechnology, Inc. today announced that the company has enhanced its ACAS 0606 AT and ACAS 0612 AT precision thin film chip resistor arrays with tighter absolute tolerance, relative tolerance, and relative TCR for the new S, T, and U accuracy grades. Offering two and four integrated resistors on one substrate, respectively, the ACAS 0606 AT and ACAS 0612 AT lower costs when compared to using multiple high-precision discrete resistors, while at the same time their stable divider ratio improves the stability of the application.

For increased accuracy, the Vishay Beyschlag ACAS 0606 AT and ACAS 0612 AT combine their high-temperature operation to +155 °C with tight absolute tolerance of 0.1 percent, relative tolerance of 0.05 percent, absolute TCR down to 10 ppm/K, and relative TCR down to 5 ppm/K. The devices offer resistance from 47 ohms to 150 kilohms, with equal or different resistor values, and maximum resistance ratio of 1:20.

In addition to excellent high-temperature performance, the ACAS 0606 AT and ACAS 0612 AT are extremely robust against harsh environmental conditions and provide superior moisture resistivity of l delta R/Rl less than 0.5 % at +85 °C and 85 percent relative humidity for 1000 hours. The devices offer a 1000 V ESD capability, a 75 V operating voltage, and maximum rated dissipation to 0.125W per element. For automotive applications, the resistors are qualified according to AEC-Q200.

Vishay said the new resistor arrays are suited for precision analog circuits, voltage dividers, and feedback circuit applications that require extraordinarily stable fixed resistor ratios. Typical applications will include automotive electronics, including engine control units, gear box controls, safety, power supply electronics, body electronics, braking systems, climate control, steering technology, and lighting; and industrial electronics, such as energy management, measurement control, sensors, scales, and precision amplifiers.

Vishay Intertechnology, Inc., a Fortune 1,000 Company listed on the NYSE (VSH), is one of the world’s largest manufacturers of discrete semiconductors (diodes, MOSFETs, and infrared optoelectronics) and passive electronic components (resistors, inductors, and capacitors).

Imec and Renesas Electronics Corporation, a supplier of advanced semiconductor solutions, announced today that they have entered into a new strategic research collaboration at Holst Centre. Together, the companies will collaborate to enhance ultra-low power (ULP) wireless technologies for short range communication, targeting sensor networks for automotive and industrial purposes.

As the newest member of imec’s ULP wireless systems program, Renesas will work to jointly develop multi-standard radio solutions for small battery-operated or harvested wireless handheld devices. By combining innovative architectures, advanced ULP design IP and efficient low power circuits, imec’s ULP radios achieve best-in-class performance and reduce power consumption by a factor of 3 to 10 lower than today’s radios. Additionally, imec’s ULP high-performance radios are compliant with state-of-the-art wireless standards, such as Bluetooth Low Energy (2.4GHz band) and ZigBee (2.4GHz band).

“Building on a proven track record of designs, our research program on ULP wireless systems offers great value to our industrial partners. Combining application, circuits and technology know-how, we provide a complete solution, shortening the time-to-market for our industrial partners,” said Harmke de Groot, program director ULP wireless technologies at imec/Holst Centre. “After five years of successful collaboration in our Green Radio program, we are pleased that a prominent semiconductor company as Renesas now joins our ULP wireless systems R&D. We look forward to developing enhanced ULP solutions contributing to the realization of the internet of things in mass market applications.”

"Various applications of sensor networks for a smart society need ultra-low power wireless communication technologies. So we develop innovative RF architectures and circuit technologies for them," said Koichi Yahagi, Senior Manager of 2nd Analog Core Development Department, Core Technology Business Division, 1st Solution Business Unit, Renesas Electronics Corporation. "We are pleased to join imec’s program to develop new ultra-low power technologies. By combining our Microcontroller units with ultra-low power wireless communication technologies led by this collaboration, we aim to supply solutions for a smart society."

Researchers from Renesas will reside at Holst Centre in Eindhoven, Netherlands, to closely collaborate with imec’s research team. Renesas will gain access to imec’s years of research in this space.

Noel Technologies, a Silicon Valley technology foundry offering process development and substrate fabrication, recently expanded its capabilities to include advanced lithography services. To push their technology roadmap to 0.15 micron, they have hired former director of application development at ASML, Keith Best, as director of photolithography.

Keith Best, Noel Technologies

The foundry will offer a host of capabilities in lithography for device manufacturers and researchers including engineering support for reticle layout and process development for DUV 248nm (0.15 micron), i-line (0.35 micron) and contact printing.

Noel Technologies said they also plan to offer R&D in lithography, lithography pilot production runs, litho cell backup, and resist spin coatings.

Best comes to Noel from Simax Lithography, an engineering services company that optimized lithography equipment, where he was vice president, applications. Prior to Simax, he spent 11 years at ASML, most recently as director of application development, and also worked for LSI Logic and KLA-Tencor. With both a fab and tool background, Best will be charged with supporting and expanding Noel’s existing lithography engineering services and setting a roadmap to take lithography resolution down to 0.15 microns.

"With last fall’s demise of SVTC," said Noel Technologies Founder and CTO Leon Pearce, "we realized the valley needed contract lithography services. By adding advanced lithography to our mix, it’s a declaration to our customers that we are a full service facility, able to supply everything from R&D to pilot and capacity runs."

Noel Technologies, Inc. is a Silicon Valley based foundry focused on process development, optimization, quality and delivery. An ISO 9001 registered facility, Noel Technologies offers process development and fabrication up to 300mm.