Category Archives: Semiconductors

December 27, 2012 – Nikon and Singapore’s Institute of Microelectronics (IME), a research institute of the Science and Engineering Research Council of the Agency for Science, Technology and Research (A*STAR), are jointly setting up a R&D lab to develop optical lithography technology for semiconductor manufacturing.

The efforts will include multipatterning and directed self-assembly techniques for ArF deep-ultraviolet (DIV) dry and immersion lithography down to 20nm and beyond, targeting applications from logic to high-density and nonvolatile memory, nanophotonics, and nanoelectromechanical systems (NEMS).

For Nikon, the collaboration will add to new capabilities in Singapore, tapping into IME’s infrastructure, process technology, and talent pool to learn future process technology and continue to push ArF immersion litho for several more device nodes. "Nikon will gain knowledge of future process technology and total solution, which will be important for our lithography system development,” stated Kazuo Ushida, president of Nikon Precision Equipment Company. "We are very excited to partner with one of the most advanced and established institute in the Asia region."

For the IME, the Nikon JDA shows how it can develop and nurture a local ecosystem for advanced R&D through industry partnerships, developing advanced technologies from process steps to metrology to materials. "Nikon is an ideal technology partner well-established in technical excellence to help IME enhance and expand our R&D capabilities to meet the needs of the industry,” stated Prof. Dim-Lee Kwong, executive director of the IME.

At the recent Georgia Tech-hosted International Interposer Conference, Matt Nowak of Qualcomm and Nagesh Vordharalli of Altera both pointed to the necessity for interposer costs to reach 1$ per 100mm2 for them to see wide acceptance in the high-volume mobile arena. For Nowak, the standard interposer would be something like ~200mm2 and cost $2. The question that was posed but unanswered was: "Who will make such a $2 interposer?"

Less than a month later, this question began to be answered as several speakers at the year-ending RTI ASIP conference (Architectures for Semiconductor Integration and Packaging) began to lift the veil on silicon interposer pricing.

Sesh Ramaswami, managing director at Applied Materials, showed a cost analysis which resulted in 300mm interposer wafer costs of $500-$650 / wafer. His cost analysis showed the major cost contributors are damascene processing (22%), front pad and backside bumping (20%), and TSV creation (14%).

Ramaswami noted that the dual damascene costs have been optimized for front-end processing, so there is little chance of cost reduction there; whereas cost of backside bump could be lowered by replacing polymer dielectric with oxide, and the cost of TSV formation can be addressed by increasing etch rate, ECD (plating) rate, and increasing PVD step coverage.

Since one can produce ~286 200mm2 die on a 300mm wafer, at $575 (his midpoint cost) per wafer, this results in a $2 200mm2 silicon interposer.

Lionel Cadix, packaging analyst of Yole D

December 20, 2012 – Global spending on wafer fab equipment (WFE) is now on pace to finish 2012 with a -17% annual decline, and 2013 now looks like it’ll only be slightly better at a -10% dropoff, before the next cyclical spending upturn begins in 2014, according to an updated forecast from Gartner.

The firm now sees 2012 WFE investments coming in at about $29.9B, a -17.4% decline from 2011. That compares with an earlier projection of a -13% decline made in October, which was itself a downward revision (-9% in June, -11% in March). Those numbers are slightly steeper, but the trend is similar, to SEMI’s recent projections which also predict a rebound coming in 2014.

The environment has softened significantly in just the past few weeks, Gartner says, as the macroeconomic suffering takes a toll on consumer spending, which trickles down to overall capital spending (equipment plus facilities services, etc.) — which Gartner now sees declining -10.7% in 2012 vs. its -9.3% forecast in the third quarter. That will be followed by another -14.7% decline in 2013, as semiconductor manufacturers deal with excess capacity and a slow macroeconomy.

"Although a period of inventory correction that led to lowered production levels in the first half of 2012 appears to be over, inventories remain at critical levels," Johnson warned. "High inventories, combined with overall market weakness, will continue to depress utilization rates into the first half of 2013."

The year started off strong for wafer fab equipment spending as chipmakers ramped sub-30nm production and needed new tools to prop up yields, but as yields improve that equipment demand is softening, explains Bob Johnson, research VP at Gartner. Overall yields will touch bottom below 80% by the end of 2012 and slowly creep up to around 85% by the end of 2013, Gartner says; leading-edge utilization will be a bit higher as always, moving from mid-80% up to the low-90% range over the same period.

There’s hope on the horizon, though. Memory and logic spending should realign in 2014 with "substantial increases" in investments, followed by a flat to slightly positive 2015. look for a new WFE growth cycle starting in 2014, and lasting through 2016.

Here’s how Gartner sees things shaping out near-term, by technology investment:

Memory: Continuing to be weak through 2013, with maintenance-level investments for DRAM and a slightly down NAND market until supply and demand are in balance.

Foundry: Spending will increase 7.4% in 2013, as both IDMs and semiconductor assembly/test services (SATS) companies absorb spending declines.

Logic: The only positive driver for capital investments in 2012 increasing just 3%, Gartner notes, thanks to the aforementioned sub-30nm ramp. Smartphones and media tablets won’t be enough to bring up utilization levels to where chipmakers need them, though, Johnson notes.

Projected global spending on semiconductor manufacturing equipment, in US $M. (Source: Gartner)

Semiconductor Manufacturing International Corp., or SMIC, (NYSE: SMI; SEHK: 981), is claiming a breakthrough in its development of backside-illuminated (BSI) CMOS image sensor (CIS) technology, with the first test chip demonstrating good image quality even in low-light conditions. The complete BSI process technology, which has been independently developed by SMIC, will serve the market for high-end mobile phone cameras, and is targeted to enter risk production with partnering customers in 2013.

The BSI process development allows SMIC to broaden its CIS foundry service offerings to customers with five-megapixel and higher resolution phone cameras and high-performance video camera products. BSI sensors are more light-sensitive than frontside-illuminated CMOS sensors, allowing today’s top smartphones to take brighter, clearer pictures at night or indoors. While driving its BSI technology toward commercial production, the company is soon to begin early development of next-generation CIS technology based on 3D integrated circuits.

"We are proud to be the first Chinese foundry to successfully develop BSI CMOS image sensors," said SMIC CEO Dr. TY Chiu. "CMOS image sensors are among the key value-added technologies that SMIC offers for customers in the mobile device and imaging markets."

"With this achievement as a stepping stone, our development team will drive the BSI sensor technology to timely commercialization," added Dr. Shiuh-Wuu Lee, SMIC’s Senior Vice President of Technology Development.

Since the introduction of its frontside-illuminated CIS process in 2005, SMIC says it has become a major foundry for CIS wafers, primarily for mobile phone and consumer electronics applications. In order to provide turnkey CIS fabrication service, SMIC and Toppan Printing of Japan operate a joint venture, Toppan SMIC Electronics (Shanghai) Co., Ltd., (TSES), which fabricates on-chip color filters and micro lenses at SMIC’s Shanghai site.

Earlier this year, rival foundry United Microelectronics Corp. (UMC) and STMicroelectronics said they are collaborating on 65nm CMOS image sensor (CIS) technology using backside illumination (BSI), following completion of a frontside illumination (FSI) process at UMC’s 300mm Fab 12i in Singapore.

Mike Rosa, MEMS global product manager at Applied Materials, blogs about recent advances in MEMS, as described at the recent MEMS Executive Congress.  

Over the last 50 years computing power has migrated from the mainframe, to the desktop, to the laptop, and now, with almost-equivalent computing capability, onto mobile devices, tablets, and smart phones. 

And tomorrow? If you were in Scottsdale, AZ in November for the now semi-annual MEMS Executive Congress, you would have heard about the latest concepts in personal computing – and I mean really personal. Think body art that collects data…well, not quite body art, but an array of patches, arm bands, watches, jewelry and more, all with one goal in mind – to help quantify every aspect of our daily lives!

It’s been referred to in recent times as the “Quantified Self” or “QS Quotient” and it’s just one of the many exciting advances enabled by MEMS.

MEMS devices enable many advances in personal health care including portable (sometimes wearable) health monitors. Fast-evolving innovations from a host of companies promise even more imaginative and discretely wearable integrated solutions.

For example, personal wellness is rapidly becoming a key priority for individuals and employers alike, both as a means to improve longevity and quality of life, and to control dramatically rising health care costs.  The result is a burgeoning business in devices that enable people to continuously gauge their personal behaviors and habits and provide actionable information.   Companies like BodyMedia and WiThings are incorporating MEMS into various portable products designed to monitor and track your vital signs, which they believe will open up new and exciting markets in personal healthcare. 

Looking only slightly further into the future, wearable patches embedded with monitoring technologies that are currently available only through health care professionals will soon find their way onto the consumer market.  One such MEMS enabled offering (see images below) being developed by BodyMedia is a seven-day, disposable patch that, will measure calorie burn, activity levels, and other body metrics, creating a snapshot of lifestyle habits to guide recommendations for weight loss, sports, fitness and much more. 

A major supplier of sports and fitness products has recently debuted a wristband with a built in accelerometer to track of all your daily activity, report calories burned and allow you to track your data over time ─ oh, and did I forget to mention ─ all wirelessly from the your favorite mobile device.  And for times when you’re not running, biking, hiking or salsa dancing, start-up company Lark has also introduced a wristband technology that, with the help of MEMS, monitors and keeps a record of your sleep patterns.

Where will it end?

According to Dr. Janusz Bryzek, vice president, Development, MEMS and Sensing Solutions at Fairchild Semiconductor, it won’t!  Bryzek moderated a lunch table discussion at the MEMS Congress entitled “Roadmap to a $Trillion MEMS Market” where we debated the growth of MEMS fueled by an increasing number of consumer, industrial and medical applications. These are based on the four strongest device types to date: gyroscopes, accelerometers, microphones, and pressure sensors.  In addition to these, there was increasing support expressed for the growth of “the internet of things,” where everyday objects are not only connected to the Internet or Cloud, but also play host to a MEMS device that enables the object to collect data from its surroundings.

The consensus among the group is that the road to a $Trillion (or unit volume) market is not an easy one.  Based on the use of today’s conventional MEMS technologies, it looks like it may take the invention of many more wristbands, waistbands, head bands, patches and pills before we can truly reach that lofty goal.  That’s not to say it won’t happen, but as in most other technology segments we’re in for many exciting baby steps as we march down the road to a “$Trillion MEMS Market.” 

 Nowhere was this more evident than during the “MEMS Technology Showcase” – a segment at the Congress where companies have an opportunity to show off the latest inventions and prototypes for MEMS-based technologies.   

Sphero and Lightbohrd are two examples of novel and very exciting products that rely on MEMS, either for acceleration, gyroscope function or for ambient light sensing and external interaction.  The MEMS in these products are available today and their use is representative of the MEMS adoption we’re likely to see as new product innovations emerge. And Applied Materials continues to be committed to developing the device fabrication technologies needed to keep those innovations coming.

Industry analysts Yole Developpment currently estimate the MEMS market at just over 7.5 billion units per year, with a valuation of $11.5 billion. Their 5-year forecast shows the combined MEMS/emerging MEMS technology market at about $20 billion by 2017, with a unit volume of more than 18 billion units. Those figures represent healthy growth, but there’s still a long way to go.  It will take many more amazing inventions ─ both new applications and new MEMS device designs ─ before that 1 trillion mark becomes a reality.  

Author

Mike Rosa serves as MEMS global product manager within the 200mm equipment products group at Applied Materials. He has over 15 years of technology focused product and business development experience.

Abingdon, EnglandOxford Instruments (OXIG:LSE) has acquired Asylum Research (Santa Barbara, CA), a maker of scanning probe microscopes (SPM) with subsidiaries in the UK, Germany, and Taiwan. Its products are used by academic and industrial customers across the world for a wide range of materials and bioscience applications.

Asylum Research is being acquired from its management for an initial debt free, cash free consideration of $32 million with a deferred element of up to $48 million payable over three years depending on performance. Asylum Research generated Earnings Before Interest and Taxation (EBIT) of $1.1 million in 2011 from revenue of $19.6 million, and had gross assets of $6.2 million. The acquisition will be funded from existing facilities and is expected to be completed before the end of December 2012.

The acquisition of Asylum Research is in line with Oxford Instruments’ 14 Cubed objectives, to achieve a 14% average compound annual growth rate in revenues and a 14% return on sales by the year ending March 2014.  This acquisition contributes to the planned acquisition element of the revenue growth objective. While Asylum Research is expected to deliver less than the 14% targeted margin in this and the next financial year, following the acquisition the 14 Cubed margin target for the Group remains unchanged.

Approximately 60% of Asylum Research turnover comes from customers working in the materials science area where the customer base and routes to market are shared with Oxford Instruments. This opens opportunities for market synergies and the development of new integrated products. The remainder of Asylum Research’s turnover is in the bio-nano area where SPM instruments are used for research into soft materials such as DNA. This market provides a new growth opportunity for Oxford Instruments.

Jonathan Flint, Chief Executive of Oxford Instruments, noted, "The acquisition of Asylum Research significantly increases our footprint in the nanotechnology space and complements our strong position in electron microscopes with a presence in another fundamental nanotechnology measurement technique. The acquisition also gives us access to the rapidly growing bio-nano market as it allows customers to perform analysis of organic samples in their natural liquid environments, something which cannot readily be done using electron microscopes.

December 19, 2012 – Singapore’s Institute of Microelectronics (IME), a research institute of the Science and Engineering Research Council of the Agency for Science, Technology and Research (A*STAR), has launched a new multiproject wafer service (MPW) for 2.5D through-silicon interposers, to provide a cost-effective platform for R&D prototyping and proof-of-concept in the technology.

The 2.5D interposer MPW service, supported by IME’s 3D through-silicon via (TSV) engineering line, includes the following modules:

  • Leveraging industry standard Electronic Design Automation (EDA) tools to perform 2.5D TSI design, extraction and verification;
  • TSV with critical dimension (CD), e.g. 10-50

Canon U.S.A., Inc. recently launched the FPA-3030i5+ i-line stepper, designed for the manufacturing of LEDs, MEMS and power semiconductors. The FPA-3030 platform is an upgrade to earlier Canon “FPA-3000 platform” steppers.  The FPA-3030i5+ features an overhauled software structure and electrical control system that allow application of optional advanced hardware (e.g., projection lens, wafer stage, and alignment system) that is not compatible with traditional FPA-3000 platform steppers.

The FPA-3030i5+ is capable of providing imaging resolution below 0.35mm, while maintaining overlay accuracy of less than or equal to 40nm and throughput equal to or in excess of 104 wafers per hour. 

The FPA-3030 platform allows the use of optional equipment designed for the processing of silicon (Si), sapphire (Al2O3), silicon carbide (SiC) and a wide variety of wafer materials used in  environmentally conscious device manufacturing. Optional equipment for the FPA-3030i5+ includes warped-wafer handling systems to allow processing of distorted substrates, and advanced image processing systems for clear substrates.

With the purchase of the optional Multi-Size Wafer Kit, the FPA-3030i5+ stepper can also be configured to process multiple wafer sizes, and can be equipped with other optional equipment to help improve productivity and efficiency.

December 17, 2012 – Demand for microelectromechanical systems (MEMS) devices, particularly pressure sensors for harsh environments, will grow 20% in 2012 on the way to a 9% CAGR for the next several years, according to an outlook by IHS iSuppli.

Sales for pressure sensors in military and civil aerospace applications will top $35.7M in 2012, up from $29.7M last year, notes Richard Dixon, principal analyst for MEMS & sensors at the firm. Sales are expected to reach $45.5M, or about 9% compound growth over the five-year period. These are two of the markets grouped as "industrial" MEMS applications, which although a far cry from the automotive or consumer segments still offers some growth opportunities and for higher-end (and higher margin) MEMS technologies. The firm projects all "high-value" MEMS sales will top $283.6M in 2012.

Worldwide high-value MEMS pressure sensor revenue forecast
for military & civil aerospace, in US $M. (Source: IHS iSuppli)

MEMS in military and aerospace applications, like many other technologies, are fighting broader macro pressures from an ongoing economic malaise to more specific constraints on the US defense budget and scaled-back (or terminated) programs. But there is optimism here too, for two reasons, iSuppli says: In military usage, there is a continued focus on long-range air and sea power (including drones), surveillance and reconnaissance or smart weapons, all of which involve a lot of electronic content. The US government strategy to transition to a smaller and smarter force will mainly affect reductions in troops and personnel — not weaponry systems and the electronics required therein. And on the aerospace side, the firm cites strong demand for newer fancier/complex aircraft, the EADS Airbus A320 and Boeing Dreamliner 787. Between them they have >2000 orders, which will spur 24% growth in the aviation market this year, iSuppli notes.

So where do MEMS pressure sensors find a home in military and aerospace applications? Aircraft, jets, turboprops, helicopters, engines, and various harsh environments — everything from air data systems to environment and cabin pressure, to hydraulic systems in airframes, to engines and auxiliary power units, and other applications such as doors, oxygen masks, flight tests and structural monitoring. A large jet needs as many as 130 sensors. A luxury airliner has 13 engine pressure sensors and switches; smaller jets can have six or seven. The "full-authority digital engine control" (FADEC) engine controller and related systems, which measure multiple variables including air density and engine temperature for any given flight condition, require 5-6 handful of transducers.

As with other industrial MEMS technologies, such capabilities command a premium price. MEMS pressure sensors in a first-level package for military/aerospace usage "can easily reach or exceed $1,000," according to Dixon. That premium comes from the much higher application demands vs. markets like automotive or consumer — e.g., high accuracy, low drift, and long-term stability in inhospitable environments while battered by high vibration, high G-force impact and acceleration, extreme temperature, and high pressure. The base silicon element has much higher performance requirements, for example, and temperature-range stability is guaranteed over 25 years vs. just 10 in vehicle. "To do all this successfully, in very small package dimensions and low weight, explains why MEMS pressure sensors are able to dominate in military and aerospace applications," Dixon sums.

Key MEMS suppliers for military/aerospace markets include Honeywell (both sensors and complete systems); Kulite Semiconductor Products, which suppliers sensors to various makers of aircraft (Boeing, Airbus, Canadair and Embraer) as well as helicopter and other military programs; and GE Druck, a US firm with a 4-in. silicon line in the UK.

December 17, 2012 – Samsung Austin Semiconductor sent out a PR last week about previously announced $4B investments in its Austin, TX facilities. The site is on schedule for production in 2H13 for mobile application processors (28nm process technologies on 300mm wafers). Samsung Austin Research Center also is adding about 200 engineers to fuel this effort, according to the company. The commitment — representing the largest single foreign investment ever made in the state of Texas — will bring Samsung’s total investment in its Austin Semiconductor unit to more than $15B since 1996.

The original Samsung Austin investment announcement — much less this update, thin on new details — wasn’t exactly a surprise; a 3Q12 retrofit had been seen as one of the key capex drivers for the latter half of this year. Samsung is expected to push its capex by 11% in 2012 to $13.1B, just ahead of Intel’s $12.5B (16% Y/Y growth) — together representing fully 40% of worldwide capital spending this year.

In a quick research note, Barclays’ CJ Muse notes that Samsung’s overall capex could be as much as halved this year (a -30% to -50% range), with most of it coming from the logic side due to an Apple defection. He currently models Samsung LSI’s capex in 2013 declining about 25% to KRW 6 trillion (~$5.4B), and possibly even more, and that it will focus on a 32nm-to-28nm transition, i.e. "spending will be shrink-oriented vs. capacity-oriented." Near-term, Muse sees Samsung’s orders, currently at "negligible levels," as possibly picking up in 1H13 to support this Austin push. He thinks this will contribute to an overall sector-wide orders environment of "flattish to slightly up (in-line with expectations)."

Another thing this announcement accomplishes, Muse notes, is a signal to the marketplace that Samsung is still investing to remain competitive with TSMC. Apple has openly partnered with Samsung in Austin to make the "engine" of the iPhone and iPad, despite the two companies’ fierce and broad competition in finished electronics devices. That business is in doubt, though, as many speculate about the electronics giant will seek other noncompetitive partners for future chip orders. With this $4B pledge, even if Samsung loses Apple’s business, it is sending a message to other fabless firms who may decide to grab some of that vacated capacity in 2013-2104.