Category Archives: Lithography

67237

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced it is demonstrating optimized pre-processing solutions for the implementation of plasma dicing for advanced semiconductor packaging applications. EVG’s latest products and process development services support this emerging semiconductor back-end fabrication process by protecting bumps and other topography with highly uniform resist layer and lithographic patterning of narrow dicing streets. By combining EVG’s systems with third-party dry plasma dicing systems, customers can obtain a complete solution that will enable highly parallel, high-throughput, debris-free die singulation without risking bump reliability or impacting structured surfaces. EVG’s offerings address the critical pre-processing requirements for mid-end-of-line (MEOL) and back-end-of-line (BEOL) processing of MEMS, power devices, RFID components, image sensors, logic and memory.

Thinner and smaller semiconductor chips are required to support the latest generation of mobile and wearable devices as well as to facilitate the Internet of Things (IoT). Plasma dicing offers numerous advantages for die singulation, such as reducing dicing street widths, providing flexible chip layouts as well as eliminating sidewall damage, chipping and wafer breakage. However, plasma dicing also brings new pre-process requirements, including the need for protecting top-side or bottom-side structures prior to singulation, conformal coating of severe topography features, thick resists for deep etching, and lithography to open up the dicing lanes.

EVG’s high-quality, low cost-of-ownership resist processing and lithography systems address all of the pre-processing steps needed for advanced plasma dicing, including resist coating and development, as well as mask alignment lithography:

  • EVG’s proprietary OmniSpray technology enables uniform coating of high-topography surfaces and bumps across the wafer—where traditional spin-coating techniques are limited—with sufficient thickness to fully protect bumps during plasma processing while providing the base for lithographic patterning of dicing streets.
  • EVG’s mask aligners provide optimal patterning quality with spray coating resists, including excellent depth of focus, high uniformity over topography, high throughput, and high resolution in deep cavities and trenches (down to 10µm even for large proximity gaps wider than 100µm), making them ideally suited to expose and open up the dicing lines.
  • The pre-processing line is completed with EVG’s high-throughput development systems.
  • All systems can be provided in semi-automated and fully automated configurations, and are fully compatible with film-frame handling, making them ideally suited for die singulation in advanced packaging.

“The semiconductor industry is increasingly driving device performance through vertical stacking on thinner substrates. This trend is leading to greater demand not only for new wafer dicing technologies, but also for the supporting pre-processing equipment such as our coat, develop and mask alignment systems,” stated Markus Wimplinger, corporate technology development and IP director at EV Group. “We are pleased to offer demonstrations of our complete line of R&D and volume-production pre-processing systems for plasma dicing at our demo labs in Austria, the U.S. and Japan, where customers can witness the yield and cost-of-ownership benefits of this powerful end-to-end wafer dicing solution for their custom advanced packaging needs.”

EVG will also showcase its latest suite of lithography and resist processing solutions for advanced packaging applications at SEMICON West, to be held July 11-13 at the Moscone Convention Center in San Francisco, Calif. Attendees interested in learning more can visit EVG at Booth #7211 in the West Hall.

By Debra Vogler, SEMI

The semiconductor industry is nothing if not persistent — it’s been working away at developing extreme ultraviolet lithography (EUVL) for many years. Though its production insertion target has slipped over the years, some say that the industry is getting closer to its introduction at the 5nm node. But it’s also true that some may be hedging their bets.

Whatever camp you fall into, the discussion is sure to be lively as a team of experts tackles the status of advanced lithography options that can get the industry from node 10 to node 5 (session “Lithography: Charting a Path, or Paths, between Nodes 10 and 5”, part of the Advanced Manufacturing Forum) at SEMICON West 2016 (July 12, 10:30am-12:30pm). Confirmed speakers for this event include Robert Aitken (ARM), Stephen Renwick (Nikon Research Corporation of America), Ben Rathsack (TEL), Mike Lercel (ASML), Mark Slezak (JSR Micro, Inc.), and Harry Levinson (GLOBALFOUNDRIES). The session will be moderated by Lithoguru’s Chris Mack. SEMI interviewed some of the session speakers to get a preview of the issues most likely to be addressed.

Equipment status

Mike Lercel, director of product marketing at ASML, told SEMI that his company is very confident that EUVL will be ready for next-generation nodes, having demonstrated progress on the NXE:3350B, which is intended for volume production: achieving 1,368 wafers per day at the ASML factory, and excellent imaging and overlay performance at >80W. He further noted that the company’s logic customers will take EUV into production in 2018-2019, so it needs to ship in volume a year before — likewise for DRAM. “We believe that EUV is cost-competitive around 1,500 good wafers per day, but the crossover point may be lower depending on the customer and the application.”

Having already achieved the productivity milestone of 1,368 wafers per day makes EUVL cost-competitive or break-even for many applications, said Lercel, primarily because multiple patterning is becoming too difficult and EUV is needed to reduce this complexity. “Additionally, we’ve exposed more than 300,000 wafers on multiple NXE:3300 scanners at customer sites and that has accelerated our rates of learning. A 125W EUV source setting has been qualified and is ready for field rollout, and we demonstrated 200W source power at ASML.” He also noted that the company has a robust EUVL product roadmap, including a high-NA EUV scanner, which will take it into the next decade and beyond. “As long as the industry continues to scale and we are not close to reaching devices’ physical limits, there will be a need for EUV.”

Lercel acknowledged that EUVL productivity must continue to be improved and throughput is closely connected to source power and tool reliability. “We’ve derived new understandings from plasma modeling and computational lithography that have enabled us to significantly increase our conversion efficiency,” said Lercel. “This was a key contributing factor in our latest 200W achievement and builds confidence in our ability to reach 250W by the end of the year, which is the source power required for 1,500 wafers per day.”

Materials and infrastructure for EUVL

There are still a number of challenges remaining for the infrastructure needed to support EUVL. Among them are actinic inspections for blanks and resists. “Deposition tools and post-pellicle mask inspection must catch up to support EUVL,” said Lercel, who told SEMI that notable progress has already been made on E-beam mask inspection high-volume manufacturing (HVM) tools and on an actinic blank inspection tool development program led by the EUVL Infrastructure Development Center (EIDEC).

In other developments reported by Lercel, Zeiss is working on an AIMS tool for defect disposition; and at imec’s EUV Resist Manufacturing & Qualification Center (EUV RMQC), the industry-wide manufacturing infrastructure and quality control capabilities needed to take EUVL into HVM are being finalized. Other R&D efforts are continuing to improve EUV blank quality process and yield — defects are now reaching single digits said Lercel. ASML is also in the process of commercializing a pellicle. Significant gaps still exist with respect to a blank multi-layer deposition tool that needs to have improved defect results. “Multiple deposition techniques are being evaluated to define the HVM tool approach,” said Lercel. “And post-pellicle mask inspection (APMI) is not on timeline for insertion,” so the industry needs other options.

Regarding EUVL resists, Mark Slezak, executive vice-president, at JSR Micro, Inc., told SEMI that short-term, the materials industry is continuing to evolve and improve chemically amplified systems that are allowing technical requirements to be met at 7nm (see Figure 1 for examples of recent performance data). “Longer term, the industry is focused on new alternative approaches to chemically amplified systems with a variety of techniques, including molecular resists, nano-particles, and advanced sensitizers,” said Slezak, who will also present at SEMICON West 2016. “Additionally, in the case of both 193i and EUV, the material industry is working on post-development solutions, such as chemical shrink, pattern collapse mitigation, and combinations with DSA (directed self-assembly) that enable further imaging extensions.”

Figure 1: Examples of recent progress in patterning materials.  Source: ASML, PSI, and imec

Figure 1: Examples of recent progress in patterning materials.
Source: ASML, PSI, and imec

As a company, JSR Micro is preparing to provide scaled-up EUV materials in a HVM setting, including advanced quality control, as early as the end of 2016, Slezak told SEMI. “However, we see that the most likely insertion point for significant volumes is in the 2018 time period.”

Overall outlook

Chris Mack summed up the industry’s current dilemma with respect to EUVL and getting from node 10 to node 5. “The whole idea of continuing on the Moore’s Law progression is to reduce the cost of a transistor by shrinking it,” Mack told SEMI. “We’ve seen a flattening of the cost/transistor trends over time lately, and I think there are some serious questions as to whether or not any specific new technology node from 10nm on will actually result in a lower cost/transistor — and if it doesn’t, there won’t be much motivation for designs to migrate to these nodes.”

Mack further observed that the cost of lithography already accounts for more than 50% of the cost of making a chip, and possibly even as high as 70% depending on the design. “As those costs escalate with each node, we worry that the cost savings won’t be enough to compensate for the higher design costs.” Citing conventional wisdom, Mack noted that the rule-of-thumb with respect to the break-even point for deciding to use EUVL is that it has to be able to cost-effectively replace three 193nm immersion steps (or masks). While there are a lot of assumptions that go into the cost-of-ownership models, Mack explained that if throughput levels can get to around 60-90wph, that would make one EUV layer cost-competitive with three 193nm immersion exposures. “I think most people agree that EUV would then be worthwhile to do. The hope is to be able to do that at the 5nm node.”

Aside from the actual technical challenges that remain to be solved before EUVL can be inserted into HVM, the major hurdle is time. “People are planning the 7nm logic node right now,” said Mack, “and no one is willing to commit to EUV for 7nm because it’s not ready.” He further explained that TSMC has said publicly it plans to exercise EUV in parallel with 193i manufacturing for the 7nm node and then implement EUV in manufacturing at the 5nm node. That would place it at around the 2020 time frame. “If EUV hits its schedule between now and 2018/2019, then we may see TSMC commit to using EUV at 5nm.” Conversely, if the EUV schedule slips and is still too risky to implement, then when 2019 comes around, it could very well be that EUVL will be pushed out even further. “Because foundries have to accept design rules about two years before manufacturing begins, and because the design rules for multiple-patterning 193 immersion are very different from single-patterning EUV, TSMC and other foundries will have to make their call about two years from now.”

For DRAM, Mack says there is still a desire for EUV to be successful, but the window is rapidly disappearing. “We might see more chip stacking as a solution going forward for DRAM,” said Mack, but “then we could see 193nm immersion SADP (single immersion double-patterning) for 20nm DRAM.” Below 20nm DRAM, If EUV isn’t ready, Mack says that chip stacking would be the solution, which leaves EUV for logic, primarily at 5nm.

“Here’s where an interesting phenomenon happens,” Mack told SEMI. “The classic view of Moore’s Law — a doubling of the number of components on a chip every two years — has been carrying on for over 50 years. Current trends are redefining the meaning of Moore’s Law (see Figure 2).”

The industry is seeing a slow-down in, i.e., 3-year cycles instead of 2-year cycles. “If that trend continues and EUV is late, that would give some breathing room for EUV to catch up. So it might be ready in time for the 5nm node.”

Figure 2: Moore’s Law trend. Courtesy: Chris Mack

Figure 2: Moore’s Law trend. Courtesy: Chris Mack

These speakers and more will present at SEMICON West 2016 (July 12-14) in San Francisco, Calif. The new SEMICON West offers eight forums: Extended Supply Chain, Advanced Manufacturing Chain Forum, Advanced Packaging Forum, Test Forum, Sustainable Manufacturing Forum, Silicon Innovation Forum, Flexible Hybrid Electronics Forum, and World of IoT Forum. Register before June 3 and save $50.

Neon shortage coming


February 18, 2016

The current Neon demand is growing in “stealth mode” – hidden from the layman’s view because of significant factors only analysts fully versed in lithography, OLED/FPD and semiconductor device trends would catch. The traditional method of using historical data to predict future Neon demand will grossly underestimate future usage.

“Those who are basing their thinking on projections of historical Neon growth are in for a big surprise,” said TECHCET’s President/CEO, Lita Shon-Roy.   “Even with the recovery of the Neon supply chain, Neon conservation actions, and new sources in China, we predict that Neon demand will grow faster than Neon supply,” she added.

The largest and most rapidly growing Neon demand drivers are Lasik, OLED/FPD (displays) and DUV lithography. However, Neon gas consumed by DUV excimer laser gases is growing at a faster pace and represents more than 90% of world’s Neon consumption.

Semiconductor lithographic use of Neon is increasing more rapidly than expected for several reasons including the delay of EUVL while demand for finer line width patterning is increasing. In addition, new consumer related markets drive increased usage of legacy device processing. Each increase in the number of lithographic steps increases the need for more DUV lithography tools, and drives up the volume demand for Neon. This is true for V-NAND process flows, as well as DRAM and Logic devices dependent on multi-patterning.

Currently, the installed base of DUV lithography tools is ~ 4,400. In contrast, there have only been a dozen or so EUVL tools shipped through the end of 2015.

“The continued growth of DUV tools will push up demand for NEON beyond which supply can support,” cautioned Shon-Roy.

More details can be found from TECHCET’s latest Critical Materials Report on NEON Supply & Demand. Information will also be presented at the CMC Conference, scheduled for May 5-6, in Hillsboro, Oregon – this is the open forum portion of the Critical Materials Council meetings. For more information go to http://techcet.com/product/neon-a-supply-alert-report/ For more information on the CMC Conference please go to www.cmcfabs.org/seminars/

CMC Fabs is a membership based group that actively works to identify issues surrounding the supply, availability, and accessibility of semiconductor process materials, current and emerging, “Critical Materials.” CMC Fabs is managed by TECHCET CA LLC, a firm focused on Process Materials Supply Chains, Electronic Materials Technology Trends, and Materials Market Analysis for the Semiconductor, Display, Solar/PV, and LED Industries. The Company has been responsible for producing the SEMATECH Critical Material Reports since 2000.

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, today announced that WIKA Group, a global leader in pressure, temperature and level measurement technology, has placed an EVG HERCULES lithography track system into production for manufacturing pressure sensor devices. The HERCULES system has already been installed and is in operation at WIKA’s fabrication headquarters in Klingenberg, Germany.

The EVG HERCULES system combines spray coat, development, wafer prime and bake/chill modules with a mask alignment and exposure tool in a fully automated production platform. To meet WIKA’s unique high-product-mix manufacturing needs, EVG has implemented several new features to this highly customized system. These include fully automated mask selection, handling and alignment capabilities, which allow users to keep the system in continuous operation while switching out substrate lots that require different geometry masks and carrier sizes for variable customer demands. This mode is also supported by optimized smart scheduling software, which automatically manages process recipes and ensures optimal process flow by pre-calculating the estimated process duration and time of transfer between process steps for each carrier substrate or lithography mask. The smart scheduling software ensures that critical process steps are carried out with repeatable, fixed durations, and can adjust to changes in material or process flow in real time. Benefits include improved process control, throughput optimization and productivity.

“Our business involves the lean production of a wide variety of specialized sensors that include many different materials and design features for customized requirements. As a result, we need manufacturing solutions that are stable, flexible and can be easily adapted to our diverse production needs,” stated Dr. Lorenz A. Kehrer, Sensor Development at WIKA. “EV Group has been our supplier of choice for lithography track systems, and adding their fully automated HERCULES system to our production flow allows us to increase manufacturing capacity and yield to meet the growing demand for our high-quality products from our versatile customers. EV Group’s expertise in providing world-class automated process solutions for MEMS and sensor manufacturing makes them an ideal partner to support our premium production needs.”

“EV Group’s integrated HERCULES system is a key component in our lithography product portfolio not only in the field of nanoimprint lithography but also for our MEMS customers applying photolithography processes,” stated Hermann Waltl, executive sales and customer support director at EV Group. “HERCULES leverages our expertise in mask alignment, resist processing, automation and software engineering to provide customers with a comprehensive future-proof lithography track solution for their volume production needs. Adoption of our lithography solutions, including HERCULES, has been driven not only by commercial applications such as advanced packaging and MEMS, but also by highly specialized applications where the customizable nature of our products coupled with our process and engineering expertise allows us to tailor our solutions to meet each of our customer’s unique requirements.”

Ultratech, Inc., a supplier of lithography, laser processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HB­-LEDs), as well as atomic layer deposition (ALD) systems, and Qoniac GmbH of Dresden, Germany, a specialist in process optimization and overlay control solutions for leading-edge semiconductor lithography, announced that the companies are jointly developing a 3D lithography advanced process control (APC) solution for advanced 3D CMOS manufacturing. Building on the companies’ respective leadership in 3D inspection and lithography APC, the solution will allow Ultratech’s Superfast to interface with Qoniac’s OVALiS, the leading lithography process optimization solution. As a result, the goal of this interface is to enable a new level of lithography 3D correctable performance that leverages Superfast’s high-density distortion sampling and OVALiS’ dynamic field-by-field feedback and feed-forward control.

Arthur W. Zafiropoulo, Ultratech’s CEO, said, “I am delighted to partner with Qoniac to provide our mutual customers a new level of 3D correctable performance. Today’s leading-edge fabs require better overlay control as one of the critical parameters affecting good process yields. Superfast has now been adopted for high-volume, 3D distortion control and lithography feed-forward applications. Qoniac’s expertise and leadership in lithography APC will help drive innovation so that we can provide our customers with new capabilities they need as they move to the next generation of Vertical NAND, DRAM and FinFET processes.”

Adwin Timmer, CEO at Qoniac, said, “Our current joint development with Ultratech for 3D lithography APC will enhance our lithography APC capabilities with distortion correction. As the industry increases the use of 3D manufacturing to lower cost, structural distortion has become a major component of the overlay budget. Ultratech’s Superfast CGS technology has given the 3D manufacturing leaders control over these distortions. Qoniac’s OVALiS aims to insure that this control is smart, dynamic and with the highest yields.”

Ultratech’s Superfast 4G+ Inspection System

Based on patented coherent gradient sensing (CGS) technology, Ultratech’s Superfast 4G+ inspection system for patterned wafers provides the industry’s highest throughput, with a low cost-of-­ownership compared to competing systems. Building on the field­-proven Superfast platform, Ultratech’s 4G+ Inspection System provides the industry with a 3D topography inspection solution for advanced lithography applications with the flexibility to measure front-­side of patterned wafers anywhere in the production line. Its direct, front­-side 3D topography measurement capability is well suited for patterned wafer applications such as displacement feed­-forward to the scanner, 3D topography measurement for focus control, and high-­stress process control.

Qoniac’s OVALiS Litho Process Optimization and Control Solution

Based on patent-pending algorithms, Qoniac’s OVALiS software suite provides the industry’s most advanced solutions for process optimization, diagnostics, monitoring and control, resulting in the best possible on-product litho performance and corresponding yields. Its diagnostic and simulation capabilities ensure shortest time-to-market and unrivalled optimization of the litho manufacturing process. Its monitoring and dynamic litho APC capabilities enable advanced excursion detection, reliable overlay dispositioning and optimal field-by-field APC corrections with the tightest possible specs.

Lithography


January 6, 2016

The process of creating a pattern on a wafer is known as lithography. Typically, light is shone through a mask onto a photoresist that coats the wafer. After exposure, the photoresist is “developed,” which removes the exposed part of the resist (or the unexposed resist if it is negative resist). A photoresist coat/bake/develop system — often called a “track system” is typically connected directly to the wafer exposure tool or wafer “stepper.”

The exposed wafer is then etched, where the photoresist acts as a barrier to the etching chemicals or reaction ions. The photoresist is then removed by stripping or “ashing.” In complex integrated circuits, a modern CMOS wafer will go through the photolithographic cycle up to 50 times, making lithography one most critical process step.

Increasingly smaller wavelengths of light have been used to create smaller dimensions. Complex mask designs have also evolved, such as optical proximity correction (OPC), to correct for optical effects. Mask-source optimization techniques have also been developed to correct for variations in the source and on the wafer.

A push to extreme ultra-violet (EUV) lithography has been under way for a decade or more, led by ASML Lithography. Alternatives have also been research and developed, including nano-imprint lithography (NIL), which uses stencils and multi e-beam (MEB) lithography, which uses a large bank of individually controlled electron beams to expose the wafer directly (no mask required). More recently, an interesting approach called directed self-assembly (DSA) has been studied, which enables very small dimensions. DSA uses a guide structure on the wafer and polymer-based chemicals to create regular lines with very small dimensions.

Check out our Lithography Topic Center for regular updates.

Additional Reading:

Feed-forward overlay control in lithography processes using CGS

Advanced lithography and electroplating approach to form high-aspect ratio copper pillars

EUV lithography is on the threshold of becoming a mainstream patterning technology for sub-10nm chips, featured speaker Anthony Yen of Taiwan Semiconductor Manufacturing Co. (TSMC) will tell fellow attendees at SPIE Advanced Lithography 2016.

SPIE Litho will run February 21-25 in the San Jose (California) Marriott and Convention Center, and is sponsored by SPIE, the international society for optics and photonics.

In its 41st year in 2016, the annual event provides a focal point for the development of micro- and nanolithography and related technologies. It brings together participants from a wide range of sectors to share and learn about state-of-the-art lithographic tools, resists, metrology, materials, etch, design, and process integration.

Yen, a former SPIE Litho symposium chair and the director of TSMC’s Nanopatterning Technology Infrastructure Division, is one of three leading semiconductor lithography researchers scheduled to give plenary talks on Monday of the conference week.

Harry Levinson, GlobalFoundries Senior Director of Technology Research and Senior Fellow and another former SPIE Litho symposium chair, will review the evolution of lithographic technologies in his plenary talk, starting with the earliest simulation software through to EUV.

Richard Gottscho, Executive vice president of Global Products at Lam Research Corporation, will discuss how to minimize process-induced variability in multiple patterning.

SPIE Litho will offer more than 500 presentations in seven conferences, two poster receptions, 15 technical professional development courses, a two-day exhibition showcasing leading suppliers for the industry, and the first-ever SPIE Litho all-symposium welcome reception.

Mircea Dusa, Fellow and scientist at ASML US, Inc., is symposium chair, and Bruce Smith, Director of Microsystems Engineering at Rochester Institute of Technology, is cochair.

Among other highlights are:

  • the 30th anniversary celebration for the Metrology, Inspection, and Process Control conference with a “Wheel of Fortune” game
  • awarding of the 2016 SPIE Frits Zernike Award for Microlithography
  • a panel discussion on fundamental technology challenges in metrology, lithography, and design as critical dimensions for integrated circuits shrink to near-atomic scales.

Companies in the exhibition on Tuesday and Wednesday will include Canon USA, Inc., Carl Zeiss SMS GmbH, JSR Micro, Inc., Swiss Litho AG, Synopsys, Inc., Tokyo Electron Limited, Zygo Corporation, and others, both well-established industry leaders and newer companies.

Accepted conference proceedings papers will be published in the SPIE Digital Library as soon as approved after the meeting, and in print volumes and digital collections.

Registration, hotel, and other information is at www.SPIE.org/AL.

SPIE is the international society for optics and photonics, an educational not-for-profit organization founded in 1955 to advance light-based science and technology. The Society serves nearly 264,000 constituents from approximately 166 countries, offering conferences and their published proceedings, continuing education, books, journals, and the SPIE Digital Library in support of interdisciplinary information exchange, professional networking, and patent precedent. In 2015, SPIE provided more than $5.2 million in support of education and outreach programs. SPIE is a Founding Partner of the International Year of Light and Light-based Technologies and a Founding Sponsor of the U.S. National Photonics Initiative.

Feed-forward can be applied for controlling overlay error by using Coherent Gradient Sensing (CGS) data to reveal correlations between displacement variation and overlay variation.

BY DOUG ANBERG and DAVID M. OWEN, Ultratech, San Jose, CA

As the semiconductor industry is fast approaching 10nm design rules, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge requiring overlay control to a few nanometers. There are many factors that impact the overlay budget that can be broadly categorized as those arising from the reticle, the lithography tool and wafer processing. Typically, overlay budget components associated with the reticle and lithography tool can be characterized and are relatively stable. However, as published elsewhere, process-based sources of surface displacement can contribute to the lithography overlay budget, independent of the lithography process (e.g., etch, anneal, CMP). Wafer-shape measurement can be implemented to characterize process-induced displacements. The displacement information can then be used to monitor specific processes for excursions or be modeled in terms of parameters that can be fed-forward to correct the lithography process for each wafer or lot.

The implementation of displacement feed-forward for overlay control requires several components, including: a) a system capable of making comprehensive surface displacement measurements at high throughput, b) a characterization and understanding of the relationship between displacement and overlay and the corresponding displacement variability, c) a method or system to integrate the displacement information with the lithography control system. The Coherent Gradient Sensing (CGS)technique facilitates the generation of high-density displacement maps (>3 million points on 300mm wafers) such that distortions and stresses induced shot-by-shot and process-by-process can be tracked in detail. This article will demonstrate how feed forward can be applied for controlling overlay error by using CGS data to reveal correlations between displacement variation and overlay variation.

High-speed, full-wafer data collection

Historically, patterned wafer surface inspection was limited to monitoring topography variations within the die area and across the wafer with the use of point-by-point measurements with low throughput, typically limiting measurements to off-line process development. Surface inspection of patterned wafers involving transparent films (e.g. SiO2 deposited films) was typically further limited to contact techniques such as stylus profilometry.

With CGS interferometry, a high-resolution front-surface topography map of a full 300 mm patterned wafer can be obtained for product wafers with an inspection time of a few seconds. Transparent films can typically be measured successfully without opaque capping layers due to the self-referencing attribute of the CGS interferometer. Essentially, CGS technology compares the relative heights of two points on the wafer surface that are separated by a fixed distance. Physically, the change in height over a fixed distance provides slope or tilt information and the fringes in a CGS interference pattern are contours of constant slope. In order to reconstruct the shape of the surface under investigation, interference data in two orthogonal directions must be collected. The slope data derived from the interference patterns is integrated numerically to generate the surface shape or topography. In-plane surface displacements in the x- and y-directions can then be computed from the surface topography using fundamentals of plate theory (FIGURE 1).

Fig 1-a Fig 1-b Fig 1-c

FIGURE 1. Example of the analysis of the uniform and non-uniform stress components of the displacement field: (a) total displacement computed from the x-direction slope, (b) uniform stress component of the displacement field determined from the best-fit plane to the data in (a), (c) non- uniform stress component of the displacement field.

To best utilize the capabilities of CGS technology for determining stress-induced displacement impacting critical layer overlay budgets, a “Post minus Pre” inspection strategy is typically employed, where two measurements of a wafer are taken: one prior to the process step or module of interest (the pre-process map), and a second measurement is taken on the same wafer after completing the process step or module (the post-process map). The pre-process topography map is then mathematically subtracted from the post-process topography map, providing detailed, high resolution information about the topography variation in the process step or module of interest. A series of topography maps illustrating the “Post minus Pre” process is shown in FIGURE 2.

FIGURE 2. Example of “Post minus Pre” topography CGS measurement.

FIGURE 2. Example of “Post minus Pre” topography CGS measurement.

The surface displacements directly impact the relative position of all points on the wafer surface, leading to potential alignment errors across the wafer at the lithography step. By measuring the evolution of process-induced stresses and displacement across multiple steps in a process flow, the overlay error due to the accumulated stress changes from those process steps can be evaluated, and the cumulative displacement can be calculated. The displacement error can then be fed forward to the lithography tool for improved overlay correction during the exposure process.

In the simplest implementation of this approach, the pre-process or reference measurement would be made following the prior lithography step, whereas the post- processing measurement would be made just before the lithography step of interest. In this manner, the total displacement induced between two lithography steps can be characterized and provided to the lithography system for overlay correction.

Stress and displacement process fingerprinting

By using CGS-based inspection to generate full-wafer topography, displacement and stress, detailed information can be provided for both off-line process monitoring (SPC), or in-line, real-time monitoring (APC) of process steps with significant process induced stress and displacement. A key consequence of the monitoring flexibility afforded by the measurement is the ability to characterize and compare within- wafer displacement and stress fingerprints of individual process chambers in a manufacturing line.

Target-based overlay metrology systems have historically been used as the only metrology tool to measure overlay error at critical lithography layers. Overlay data from the target-based overlay tools is collected after the wafer exposure step and is fed-backward to correct for the measured overlay error for subsequent wafers. As process- induced displacement errors are becoming a significant percentage of the layer-to-layer overlay budget, this post processing feed-back approach for overlay correction may not be sufficient to meet critical layer overlay specifications. Furthermore, overlay errors are often larger near the edge of the wafer where traditional overlay metrology target densities are typically low, providing only limited data for overlay correction.

The implementation of displacement feed-forward overlay correction can be
used to account for wafer-to-wafer and within-wafer distortions prior to lithography. The displacements can be characterized using an appropriate model and the model coefficients, or correctables, can be provided to the lithography tool for adjustment and control on a wafer-by-wafer basis. As shown in FIGURE 3, the CGS technique has the additional advantage of providing high-data density near the edge of the wafer (typically > 75,000 data points beyond 145 mm, sub-sampled in the Fig. 3 vector map for clarity), such that more accurate corrections can be determined where the overlay errors tend to be largest. As a result, lithography rework can be reduced and productivity increased. Case studies have revealed that a significant improvement in overlay can be achieved using this approach.

FIGURE 3. Vector displacement map showing process-induced edge distortion.

FIGURE 3. Vector displacement map showing process-induced edge distortion.

For each critical lithography step, a correlation is typically generated by comparing the traditional overlay measurement tool results to the surface displacement measured by the CGS measurement tool. Recognizing that displacement is only one component of the total overlay measurement, correlation of overlay to displacement requires effort to model or characterize the non-displacement components of the measured overlay. As a result, the appropriate correlation is derived by comparing total overlay to displacement plus the non-displacement overlay sources.

FIGURE 4 shows plots of total overlay versus displacement plus modeled non-displacement overlay sources for multiple locations on a single wafer processed in a leading-edge device flow. Figure 4a shows the x-direction data, whereas Fig. 4b shows the y-direction data. The data is presented in arbitrary units, however the same reference value in nanometers was used to normalize each set of data. The displacement data was evaluated at the same locations as the overlay target positions. For both the x-direction and y-direction data, the point-to-point correlation indicates good correlation with the correlation coefficients of 0.70 and 0.76, respec- tively. The RMS of the residuals of the linear fit to each data set are on the order of 1.5 to 2.0 nm.

Fig 4a

Fig 4b

FIGURE 4. Within-wafer (point-to-point) correlation of conventional overlay data and displacement data for the (a) x-direction and (b) y-direction.

FIGURE 5 similarly shows the wafer-to-wafer variation for overlay and displacement for the x-direction (Fig. 5a) and y-direction (Fig. 5b). The data in Fig. 5 are from multiple lots for the same lithography process evaluated to generate the data in Fig. 4. As with the point-to-point data, the wafer-to-wafer data shows strong correlation with correlation coefficients of 0.94 and 0.90 for the x-direction and y-direction, respectively.

Fig 5a Fig 5b

FIGURE 5.Wafer-level correlation between conventional overlay, |mean| + 3 sigma and displacement, |mean| + 3 sigma for a leading-edge process in the (a) x-direction and (b) y-direction.

The data in Figs. 4 and 5 illustrate key points regarding the correlation of overlay to displacement. First, the inherent variability of an advanced lithography process is typically on the order of 1 to 2nm. As a result, it is reasonable to conclude that the most of the scatter shown in Fig. 4 is likely associated with the variability in non-displacement sources of overlay variation. Second, the modeling or empirical characterization of non-displacement overlay sources is useful to the extent to which those non-displacement sources are constant. Consequently, if such modeling is part of the displacement feed-forward scheme in an effort to predict overlay, the model must account for known variations in the lithography process. A simple example is varia- tions in overlay performance due to differences between lithography chucks.

Displacement feed forward

It has been shown elsewhere that stress induced displacement can account for a significant fraction of the overlay error for certain critical layers at the 40nm node and below. It is therefore critical to develop the tools necessary for utilizing the measured displacement data for real-time in-line feed forward overlay correction to the scanner. One approach to this solution is to develop a system that allows the user to define the level of correction to be applied to the scanner for each lot, wafer or within-wafer zone.

FIGURE 6 shows a simplified schematic for a combined displacement feed-forward and image placement error feed-back approach. Once the process induced displacement for a specific set of process steps has been measured and correlated to overlay error, the measured displacement can be “fed forward” to the scanner in combination with traditional image placement error feedback techniques to further improve critical layer scanner overlay results. This approach is currently being implemented in leading-edge memory fabs to further reduce overlay errors on critical lithography levels and improve overall device yield.

Summary

The measurement of process-induced surface displacement can be an effective part of the overlay control strategy for critical layers at leading edge process nodes. CGS technology provides a method to comprehensively measure these displacements at any point in the process flow. Using a full-wafer interferometer, this system measures the patterned wafer surface in a few seconds and provides a map with up to 3,000,000 data points. This enables 100% in-line monitoring of individual wafers for in-situ stress and process induced surface displacement measurements. Its self-referencing interferometer allows the inspection to be made on any type of surface or films stack, and does not require a measurement target. This capability is currently being employed in numerous leading-edge memory and logic processes.

DOUG ANBERG currently serves as Ultratech’s Vice President of Advanced Lithography Applications; DAVID M. OWEN has been the Chief Technologist for Surface Inspection at Ultratech since 2006. Prior to joining Ultratech, Dr. Owen spent nearly a decade as a research scientist at the California Institute of Technology (Caltech) in Pasadena, and was the Founder and Chief Technology Officer for Oraxion Diagnostics.

It is possible to fabricate copper pillars more than 100μm in height, with aspect ratios up to 6:1, using advanced packaging stepper lithography in conjunction with electroplating.

BY KEITH BEST, Rudolph Technologies, Wilmington, MA, and PHILLIP HOLMES, TEL NEXX, Billerica, MA

Recent years have seen rapid development in the area of advanced packaging. In general, advanced packaging processes are concerned with the interconnection of multiple chips in a single package to provide increased functionality and performance in a smaller volume. System Scaling Technology — the combination of front-end, middle-end and back-end to advance microelectronic systems—utilizes many different advanced packaging approaches, one of which is known as 2.5D packaging. The term “2.5D packaging” has not always been used consistently in literature. The definition used for the purpose of this paper can be summarized as follows: a 2.5D package utilizes an interposer between multiple silicon die and a system-in-package (SiP) substrate, where this interposer has through vias connecting the metallization layers on its front and back surfaces (FIGURE 1).

FIGURE 1. A 2.5D IC/SiP using an interposer and through vias.

FIGURE 1. A 2.5D IC/SiP using an interposer and through vias.

 

The development of these new packaging schemes is being driven primarily by the rapid growth in mobile handheld devices such as smartphones. Often, the manufacturing processes used are adaptations of well-established front-end processes. A number of different approaches are in development or already in production, including wafer-level chip scale packaging, copper pillar bumps on through silicon vias (TSVs), fan-out wafer level processing, and many more. Of particular interest is the replacement of solder bumps by fine pitch copper pillar bumps, which has been the subject of many new system- in-package designs. Here we investigate the lithography and plating of copper pillars, with focus on heights in excess of 100μm and diameters of 25μm, in anticipation of future SiP requirements.

The increase in the number of I/O channels required by multi-chip system designs has exceeded the density and pitch capabilities that traditional solder bump processes can deliver, so that an alternative connection scheme is required. For interposers, the key enabling technology has been the development of fine pitch copper pillar bumps to provide the high-density interconnection between the interposer and the die. Copper pillar bumps provide a number of advantages over the solder bumps they are supplanting. They can deliver finer pitches, 40μm and less have been demonstrated. They also provide superior electromigration performance in applications where high current-carrying capacity is required. However, lithography and electroplating for fine pitch copper pillar bumps can be particularly challenging. The pillars are electroplated into openings in a thick layer of photoresist which exceeds the capability of most front-end tools. Typically, today’s copper pillars range from 30-50μm in height, with height to width aspect ratios from 1:1 to around 2:1 Here we describe the lithography, resist, and electroplating systems and processes required to create 5:1 aspect ratio copper pillars with heights in excess of 100μm.

Photoresist

A negative tone photoresist (JSR THB-151N) was chosen for this work. Its acrylate groups cross-link on exposure and are developed in industry standard 2.38% TMAH developer. The photoresist was spun to a thickness of 120μm on 300mm silicon wafers with an under bump metallization (UBM) prepared seed layer. To reach the 120μm photoresist film thickness, two coatings of photoresist were required, soft baked at 130C, 300secs and 130C, 360secs respectively. After coating, the photo- resist film was allowed to rehydrate for one hour prior to exposure on a wafer stepper (Rudolph Technologies’ JetStep System).

Lithography

We used a customized test reticle that included a wide range of sizes and pitches to expose the wafer. When processing a thick photoresist, well-controlled sidewall angles are a critical requirement, especially when electroplating tall copper pillars. Most front-end tools have high numerical aperture (NA) lenses with low depth of focus (DOF) that prevent adequate exposure of thick films with sufficient image contrast to meet the sidewall angle and resolution requirements. Mask aligners also struggle with high aspect ratio imaging, not because of their NA, but because they are unable to provide the necessary focus offset required to expose the film at high resolution, ultimately limiting their aspect ratio and sidewall angle control. Although photoresist sidewall angles are primarily a function of the photoresist material and its processing (pre-bake, post-bake, developing, etc.), the exposure system plays an important role. Accurate focus control across the wafer or substrate is required to achieve consistent and accurate CD control with straight and perpendicular sidewalls.

The lithography stepper employed in this study refocuses for each exposure to ensure optimal focal plane height on advanced packaging substrates that are frequently warped by film stress and thermal cycling. The system’s 0.1 NA provides a large depth of focus to maintain image integrity and CD control through thick films. The stepper lens is achromatized and the installed “filter wheel” provides a choice of illumination wavelengths to expose the photoresist layers: “broadband” ghi (350-450nm), gh (390 to 450nm) or i-line (365nm). This study, with a photoresist thickness of 120μm, required high energy illumination of >1000 mJ/cm<sup>2</sup>, so broadband illumi- nation (g,h,i wavelengths) was employed to maintain high throughput.

The coated wafers were exposed using a focus exposure matrix wafer layout which provided a large number of programmed focus and exposure conditions at a fixed stepping distance to enable quick and efficient character- ization of the lithography process window for any pillar CD. After exposure, the wafers were developed for a total time of 180 secs, using 6 puddles in 2.38% TMAH. A number of wafers were processed in this way to provide images of the resist structures prior to the electroplating process. The SEM micrograph in FIGURE 2 shows a cross section of the photoresist via mold structures, the CD limit appears to be 25μm with this process, since the via is not open to the seed metal beyond this resolution.

lithography 2

It is interesting to note how the sidewall angle of the photoresist changes with decreasing CD suggesting that the plating will generate a “pedestal” type of copper pillar base at larger CDs, becoming progressively more vertical at smaller CDs. However, upon closer inspection of the smallest CDs, a slight “footing” can be observed at the base of the via (FIGURE 3), and this could result in slight undercut of the final copper pillar. The footing effect was most likely the result of our unoptimized develop process.

lithography 3

Electroplating

After the lithography processing, the wafers were sent to TEL NEXX for electroplating. The plating process employed the TEL NEXX Stratus P300 System, a fully automated electrochemical deposition system for advanced wafer-level packaging applications. The system deposits thick metal layers for wafer bumping, redistribution layers, TSVs, integrated passives, and MEMS.

In this study, we used a methanesulfonic acid copper chemistry with organic additives. The bath composition, operating temperature and current waveform were optimized for high speed copper plating into very thick resist features with flat bump profiles. After plating the photoresist was stripped using an immersion bath with EKC162 solution at 60 degrees. To preserve the profile of the photoresist mold the seed layer was not etched. The final copper pillar structures exhibit the inverse photo- resist mold profile (FIGURE 4).

lithography 4

The electroplating process successfully deposited copper in the photoresist via “molds” that were open to the copper seed material, producing good quality copper pillars with a final minimum copper pillar CD of 20μm, indicating a process bias of 5μm. This bias enabled the final copper pillar to reach a 6:1 aspect ratio as shown in FIGURE 5.

lithography 5

The final copper pillars exhibit excellent sidewall angle, 90 degrees for the smaller CDs. The profiles correlate well with the profiles observed in the photoresist SEM cross sections. The change in profile at the base of the photoresist for the smaller CDs did result in a slight undercut of the final copper pillar. The removal of this photoresist foot could be achieved by either increasing the de-scum time or modifying the develop recipe. The larger copper pillars tended to flare out slightly at the base (FIGURE 6) compensating for any undercut. This will benefit the structure during the removal of the copper seed layer.

lithography 6

The rheology of the copper pillar surface is very important for bonding reliability and the uniform plating of Sn solder, which was not performed during this particular study since it was not the primary objective. FIGURE 7 shows the flat top surface of a copper pillar which is free of voids and defects.

lithography 7

For advanced packaging applications, precise copper pillar height control is essential, and lithography CD control plays an important part in the plating process since CD variation directly affects plated height. The electroplating rate is proportional to current per unit area, i.e. the open area at the bottom of the photoresist openings at the beginning of the process, and the area of the evolving metal surface during deposition. Variation in CD or sidewall angle across the wafer will result in a corresponding change in copper pillar height. For example, in the case of copper pillar features a 5% change in CD can cause a 10% change in plated height.

Conclusion

The results of this study prove that it is possible to fabricate copper pillars more than 100μm in height, with aspect ratios up to 6:1, using advanced packaging stepper lithography in conjunction with electroplating. As advanced packaging requirements continue to evolve, the ability to create smaller copper pillar CDs at finer pitches in thick films will provide increased I/O density opportunities for SiP designers. Furthermore, it is clear that achieving high yield and reliability in the final package requires precise CD control throughout the entire photoresist profile to ensure consistent copper pillar height.

KEITH BEST is director applications engineering at Rudolph Technologies, Wilmington, MA. PHILLIP HOLMES is director of technology at TEL NEXX, Billerica, MA

Vistec Electron Beam GmbH, a supplier of electron-beam lithography systems, announced that it has established a show room facility in Schaumburg, IL to promote and demonstrate their Variable Shaped Beam systems specifically for the US and North America market.

The show room facility in Schaumburg is designed to demonstrate the functionality and operation of Vistec’s ebeam equipment and to provide an insight into its various applications. The key component of the facility is a fully operational Vistec SB254 electron-beam lithography system, installed in a clean room of 970sqft., supplemented by a set of process and measurement equipment for standard sample processing.

“We are very pleased to be able to offer such a demonstration capability to potential North America market customers. The availability of the show room facility shall manifest our commitment to this important high technology region, it will foster our activities to better understand customer needs and shall help to provide tailored solutions to their specific requirements,” said Wolfgang Dorl, General Manager of Vistec Electron Beam.