Category Archives: Resource Guide

GlobalFoundries_Ajit_ManochSEMI, the global association connecting and representing the worldwide electronics manufacturing supply chain, today announced the appointment of Ajit Manocha as its president and CEO. He will succeed Denny McGuirk, who announced his intention to retire last October. The SEMI International Board of Directors conducted a comprehensive search process, selecting Manocha, an industry leader with over 35 years of global experience in the semiconductor industry.  Manocha will begin his new role on March 1 at SEMI’s new Milpitas headquarter offices.

“Ajit has a deep understanding of our industry’s dynamics and the interdependence of the electronics manufacturing supply chain,” said Y.H. Lee, chairman of SEMI’s board of directors. “From his early days developing dry etch processes at AT&T Bell Labs, to running global manufacturing for Philips/NXP, Spansion, and, as CEO of GLOBALFOUNDRIES, Ajit has been formative to our industry’s growth. Ajit is the ideal choice to drive our SEMI 2020 plan and beyond, ensuring that SEMI provides industry stewardship and engages its members to advance the interests of the global electronics manufacturing supply chain.”

“Beyond his experience leading some of our industry’s top fabs, Ajit has long been active at SEMI and has served on boards of several global associations and consortia,” said Denny McGuirk, retiring president and CEO of SEMI. “Ajit’s experience in technology, manufacturing, and industry stewardship is a powerful combination. I’m very excited to be passing the baton to Ajit as he will continue to advance the growth and prosperity of SEMI’s members.”

“I have tremendous respect for the work SEMI does on behalf of the industry,” said Ajit Manocha, incoming president and CEO of SEMI. “I am excited to be joining SEMI at a time when our ecosystem is rapidly expanding due to extensive innovation on several fronts.  From applications based on the Internet and the growth of mobile devices to artificial intelligence/machine learning, autonomous vehicles, and the Internet of Things, there is a much broader scope for SEMI to foster heterogeneous collaboration and fuel growth today than ever before.  I am looking forward to leading the global SEMI organization as we strive to maximize value for our members across this extended global ecosystem.”

Manocha was formerly CEO at GLOBALFOUNDRIES, during which he also served as vice chairman and chairman of the Semiconductor Industry Association (SIA).  Earlier, Manocha served as EVP of worldwide operations at Spansion. Prior to Spansion, he was EVP and chief manufacturing officer at Philips/NXP Semiconductors. Manocha also held senior management positions within AT&T Microelectronics. He began his career at AT&T Bell Laboratories as a research scientist where he was granted several patents related to microelectronics manufacturing. Manocha holds a bachelor’s degree from the University of Delhi and a master’s degree in physical chemistry from Kansas State University.

Each year, Solid State Technology turns to industry leaders to hear viewpoints on the technological and economic outlook for the upcoming year. Read through these expert opinions on what to expect in 2017.

Driving the industry forward with materials engineering

Raja_Prabu_fullPrabu Raja, vice president and general manager, Patterning and Packaging Group, Applied Materials, Inc.

Over the past few years, the industry has made remarkable progress in bringing 3D chip architectures to volume production. In 2017, we will continue to see exciting technology innovations for scaling 3D NAND devices to 64 layers, ramping the 10nm process node into volume manufacturing and increasing the adoption of highly integrated chip packages.

With the transition to the 3D and sub-10nm era, the semiconductor world is changing from lithography-based scaling to materials-enabled scaling. This shift requires multiple new materials and capabilities in selective processing.

The magnitude and pace of these changes are truly disruptive. For example, with 3D NAND materials innovations for hard mask deposition and hard mask etch are essential. The challenge is to build high aspect ratio vertical structures with uniform profiles from the top to the bottom as more layers are added. Selective removal processes can remove targeted materials in vertical and horizontal structures without damage or residue throughout the stack.

For logic/foundry, the introduction of the 10nm process node in volume manufacturing brings significant growth in the number of patterning steps. This trend will increase even more for 7nm and below designs. Patterning these advanced nodes requires innovative etch capabilities to deliver feature-scale uniformity with low line edge roughness. Selective processes and alternative manufacturing schemes will also be needed as the industry seeks solutions for layer-to-layer vertical alignment. We expect this to result in a two-fold increase in the number of materials to be deposited and removed.

Finally, the industry will continue to adopt new and improved packaging schemes for enabling increased device performance, lower power consumption and to deliver desired form factors. In 2016, we saw the volume adoption of Fan-Out packaging in mobile devices and this trend is expected to grow further in 2017. The high performance computing segment will pursue 2.5D interposer and/or 3D TSV packaging schemes for higher memory bandwidth, lower latency and better power efficiency.

Applied Materials is focused on delivering game-changing selective process technologies and materials innovations to help solve the industry’s toughest challenges.

North America-based manufacturers of semiconductor equipment posted $1.55 billion in orders worldwide in November 2016 (three-month average basis) and a book-to-bill ratio of 0.96, according to the November Equipment Market Data Subscription (EMDS) Book-to-Bill Report published today by SEMI.  A book-to-bill of 0.96 means that $96 worth of orders were received for every $100 of product billed for the month.

SEMI reports that the three-month average of worldwide bookings in November 2016 was $1.55 billion. The bookings figure is 4.0 percent higher than the final October 2016 level of $1.49 billion, and is 25.1 percent higher than the November 2015 order level of $1.24 billion.

The three-month average of worldwide billings in November 2016 was $1.61 billion. The billings figure is 1.1 percent lower than the final October 2016 level of $1.63 billion, and is 25.2 percent higher than the November 2015 billings level of $1.29 billion.

“As 2016 comes towards a close, equipment spending is stronger than expected at the start of the year,” said Dan Tracy, senior director, SEMI. “Spending has been driven by 3D NAND, leading-edge foundry, and advanced packaging investments, and these segments are key for the expected spending growth in 2017.”

The SEMI book-to-bill is a ratio of three-month moving averages of worldwide bookings and billings for North American-based semiconductor equipment manufacturers. Billings and bookings figures are in millions of U.S. dollars.

 

Billings
(3-mo. avg)

Bookings
(3-mo. avg)

Book-to-Bill

June 2016

$1,715.2

$1,714.3

1.00

July 2016

$1,707.9

$1,795.4

1.05

August 2016

$1,709.0

$1,753.4

1.03

September 2016

$1,493.3

$1,567.2

1.05

October 2016 (final)

$1,630.4

$1,488.4

0.91

November 2016 (prelim)

$1,613.2

$1,547.2

0.96

Source: SEMI (www.semi.org), December 2016

ClassOne Technology (www.classone.com), manufacturer of advanced wet processing equipment for ≤200mm substrates, announced the formation of a Board of Advisors. This body will be made up of highly-regarded senior executives from the semiconductor industry who will provide their perspective and guidance to ClassOne as it navigates the expansion of its operations. Three distinguished industry notables, Larry Murphy, Eric Choh and Tom Pilla have been named to the board.

“ClassOne is experiencing very rapid growth right now, and we wanted to incorporate the broad perspective and deep experience that these gentlemen can bring to our effort,” said Kevin Witt, President of ClassOne Technology. “Larry, Eric and Tom are long-respected leaders in this industry and have already proven to be a huge asset. We are fortunate and honored to have them on our team.”

“We are delighted to add our support and endorsement to ClassOne,” said Larry Murphy. “We’ve seen the customer focus and innovative technology that this company is bringing to the industry. ClassOne is disrupting the old status quo and delivering much-needed high-performance electroplating and wet process equipment to the smaller-substrate markets — markets that have been generally underserved.”

Larry Murphy is presently CEO at PROOF Research. Previously, he had been CEO at Thompson Technology Group, VP and GM of North America & Europe SSG Sales at Applied Materials, and VP and GM of the Semitool Business at Applied Materials. Earlier, he had served as President and COO at Semitool for six years, and before that, as President and CEO at Tosoh SMD for more than 14 years.

Eric Choh has spent more than 30 years in the semiconductor industry with extensive experience in wafer fab operations and advanced technology development. Most recently he was the VP/GM of GLOBALFOUNDRYS’ Fab 8. Mr. Choh had also held a number of senior positions at AMD, including VP of Advanced Process Development and Executive Managing Director of the AMD/UMC Alliance.

Tom Pilla now holds the position of Global Supply Chain Director and Electronic Materials/Business Manufacturing Director of Litho Materials at Dow Chemical. Previously, he served as VP of Operations at Rohm and Haas Electronic Materials, VP of Operations at Rodel, and Global Supply Chain Director at Rohm and Haas.

ClassOne Technology offers a range of cost-efficient wet-processing tools specifically for emerging markets and other users of 3″ to 8″ wafers. The company’s mission is to provide advanced technology equipment at more affordable prices — to address the budget constraints that smaller-substrate markets typically have and fill a niche that larger equipment manufacturers historically have not addressed.

ClassOne’s current product line-up includes the Solstice family of high-performance, multi-purpose electroplating tools and the Trident families of Spin Rinse Driers and Spray Solvent Tools. All are specifically designed for processing ≤200mm wafers. Solstice systems are available both for development and production and are priced at less than half of what similarly configured plating systems from the larger manufacturers would cost. This is why Solstice is often described as delivering “Advanced Plating for the Rest of Us.” ClassOne supports customers with world-class process development, deployment and service around the globe.

Solid particles in the abatement exhaust must be properly managed, and in some cases, substantially reduced from the gas stream before it is released into the environment.

BY CHRIS JONES, Edwards Vacuum, Ltd., Clevedon, U.K.

Many semiconductor manufacturing processes create solid particles in the process exhaust. Like other exhaust contaminants, these must be properly managed, and in many cases, removed from the stream before it is released into the environment. The permitted release levels vary for particles of different sizes and compositions, depending on their toxicity or potential to damage the environment. Regulations governing particle releases are evolving rapidly. However, the management of particulate flows in process exhaust is also important due to its potential impact on the process itself. Left unmanaged, particulate accumulations can result in shut downs for unplanned maintenance, excessive and premature wear and costly repairs, all of which directly affect the profitability of the manufacturing operation.

Solids may be formed in the exhaust stream of a semiconductor manufacturing process from a number of sources. One important source, though not the focus of this discussion, is the condensation of process gases in vacuum pump exhausts. If not controlled with a thermal management system (e.g. Smart TMS, Edwards) that maintains the pipe surfaces at a sufficiently high temperature, this condensation can quickly accumulate and force a halt to the manufacturing process. This article will discuss issues further downstream in the abatement process, where toxic volatile compounds are converted to more benign forms, some of which form solid particles that must then be removed from the exhaust gases. Many of these solids are oxides formed when gases, such as tungsten hexaflu- oride, silane, organo- and halo- silanes and others, are exposed to heat, air, and water. The particles are typically amorphous, i.e. non-crystalline. Many abatement processes use combustion to supply the heat needed to decompose toxic compounds and chemically convert them to a more harmless form. The particles thus formed have varying sizes and may be hydrophilic (formed from halosilanes), hydrophobic (formed from organsilanes) or mixed (mixed chlorides or silicon, aluminum and boron, for example), depending on the species combusted and the nature of the combustion process. Particle sizes can range from tens of nanometers to tens of microns. As shown in FIGURE 1, the size of the particles depends on, among other factors, the length of the combustion flame. Longer flames maintain the components at high temperature for a longer periods and result in the formation of larger particles.

FIGURE 1. A longer flame maintains the combusting components at higher temperature for a longer time and results in the formation of larger particles.

FIGURE 1. A longer flame maintains the combusting components at higher temperature for a longer time and results in the formation of larger particles.

The behavior of particles once released into the environment varies depending on their sizes. Coarse particles, with diameters ranging from 2.5μm to 10μm, result largely from processes such as erosion, agriculture, or mining and include crustal dust, pollens, fungal spores, biological debris and sea salt. Because of their large size, these particles persist in the atmosphere for only a few hours or days. Fine particles, which range from 2.5μm to 0.1μm and include the particles of concern in semiconductor manufacturing exhaust, may be the direct result of a combustion processes or may also be formed by photochemical reactions between volatile organic compounds (VOC) and oxides in the presence of sunlight. Fine particles can stay suspended in ambient air for days to weeks. Ultrafine particles, less than 0.1μm, are generated by high temperature combustion or formed from the nucleation of atmospheric gases. Ultrafine particles are quickly removed from the atmosphere (minutes to hours) via diffusion to surfaces or coagulation, adsorption and condensing into fine particles.

Regulatory environment

Regulations governing the release of particles into the atmosphere are developing quickly worldwide as scientists expand their knowledge of the particles’ impacts on health and the environment. In addition to regulations governing emissions by particle size, there are specific regulations regarding especially harmful species, such as heavy metals, carcinogens and toxics. For example, the presence of an adsorbed species, like hydrofluoric acid (HF), on oxide particles increases the toxicity of the parent material.

In 2013 the United States Environmental Protection Agency specified an average daily limit of 150μg/m3 for coarse particles and 35μg/m3 for fine particles, and an average annual limit of 12μg/m3 for fine particles (down from 15μg/m3 in 2006). China, as of 2012, imposed limits based on both particle size and type, with permitted daily levels for coarse particles of 50μg/m3 and 150μg/m3 for type I and type II, respectively and 35μg/m3 and 75μg/m3, respectively for fine particles. China also limits annual averages for both sizes and types. The European Commission, the World Health Organization and the Australian National Environmental Council, among others, all specify their own limits. It is clearly incumbent on manufacturers to know and satisfy their local regulations. [1]

Health considerations

The health of employees in manufacturing facilities and people living near manufacturing operations is clearly a high priority for our industry. Epidemiological studies have provided plausible evidence that exposure to particulate material (PM) can impact health in a number of ways, including pulmonary and systemic inflammation, oxidative stress response, protein modification, stimulation of the autonomic nervous system, exaggerated allergic reactions, pro-coagulation activity, and suppression of immune response in the lungs.

Some studies have provided good news as well, specifically, that the amorphous silica particles produced during the abatement of gases used in semiconductor manufacturing have much less impact on lung function than the crystalline silica particles more often encountered in mining and building industries. These studies looked specifically at the effects of pure silica particles, an important caveat. Silica and other dusts that may have acids, such as HF, adsorbed on the particle surface constitute substantially greater health risks than the simple oxide. Other particulate oxides also represent serious health challenges. These include oxides of antimony, arsenic, barium, chromium, cobalt, nickel, phosphorus, tellurium and selenium.

Abatement performance

Just as condensed material deposited in the vacuum lines can shut down the production process, the accumulation of combustion-generated particulates can degrade the performance of the whole facility. In a typical point-of-use (POU) abatement system, after combustion the exhaust gases pass through a series of operations designed to remove particulates and other by-products. In the example shown in FIGURE 2 these include a water weir, quench tanks, a packed-bed scrubber and an atomized spray. Atomizing spray systems, in particular, have been shown to improve solids removal performance from 50 to 75 percent. Blockages can occur at the damper, in duct spurs leading from the abatement to the main duct, in the main duct, before or within the scrubber. In addition to blockages, failure to remove particulate at the primary abatement unit can also lead to environmental discharges and visible plumes at stacks. Any blockage will result in a process shutdown for system maintenance, lasting from a few hours to an entire day.

FIGURE 2. The accumulation of combustion generated particulates can degrade abatement system performance.

FIGURE 2. The accumulation of combustion generated particulates can degrade abatement system performance.

Mitigation options

A number of approaches exist for removing particulates downstream of the abatement system. One solution does not fit all and it is important to pick the one that best addresses the specific challenges. FIGURE 3 shows performance characteristics for various technologies. For example, highly toxic particles may require much higher removal rates than less harmful particles.

FIGURE 3. Performance characteristics for various particle removal technologies downstream of the abatement system. Courtesy: Waste-to-Energy Research and Technology Council (greyed out area not relevant to solids).

FIGURE 3. Performance characteristics for various particle removal technologies downstream of the abatement system. Courtesy: Waste-to-Energy Research and Technology Council (greyed out area not relevant to solids).

Edwards’ standard solution (FIGURE 4) for POU removal of fine particles is a wet electrostatic precipitator (WESP). A WESP uses electrostatic forces to remove particles. It requires power, water and pneumatics and can remove up to 95 percent of silica particles at flow rates of 1m3/ min, 85% at 2m3/min. WESP technology can be scaled to handle an entire facility. In one example, Edwards partnered in the installation of a large scale dual WESP integrated with a packed-bed wet scrubber and designed it to meet the specific challenges of arsenic abatement. The system ultimately demonstrated a 99 percent removal rate to meet the stringent requirements of the Chinese government for this highly toxic substance.

FIGURE 4. POU WESP uses electrostatic forces to remove particulates from the exhaust stream. It can remove up to 95 percent of silica particles at a flow rate of 1m3/min.

FIGURE 4. POU WESP uses electrostatic forces to remove particulates from the exhaust stream. It can remove up to 95 percent of silica particles at a flow rate of 1m3/min.

Alternative technologies that may be appropriate, but have not been evaluated for use in the management of waste gases from semiconductor manufacturing, are the Rotoclone family (from AAF International). POU units handle flow rates of 30m3/min, removing >97 percent of 1μm particles and >99.8 percent of 10μm particles. Duct-based Rotoclones with flow rates up to 1250m3/ min remove as much as 86 percent of 1μm particles and 99 percent of 10μm particles. Rotoclones require power, water, pneumatics and a drain.

More conventionally, a Venturi scrubber can be configured for various flow and removal rates. As a rule, smaller units controlling a low concentration waste stream will be much more expensive per unit of volumetric flow than larger units cleaning high pollutant-load flows. Venturi scrubbers can handle mists and flammable or explosive dusts. They have relatively low maintenance requirements, are simple in design and easy to install. Their collection efficiency can be varied. They can cool hot gases and neutralize corrosive gases. They are susceptible to corrosion and must be protected from freezing. Treated gases may require reheating to avoid a visible water plume. The collected particulate material may be contaminated and not recyclable, requiring expensive disposal of the waste sludge.

Filtration is another alternative for particle removal. It is normally restricted to the management of dry dusts at flow rates of 5 to 250m3/min. Removal rates higher than 99.9 percent are achievable. We have seen a limited number of large filter installations for the removal of hydrophobic silica solids at relative humidities as high as 80 percent. It is not clear how the presence of hydrophilic powder might impact the performance of these facilities.

In cases of highly toxic particles, high efficiency air particle (HEPA) filters can provide very high removal rates, higher than 99.999 percent. However, HEPA filters are appropriate only for very low contaminant concentrations. Edwards has been partnering with third-party suppliers regarding HEPA filtration for highly toxic dusts such as those generated during arsine management. These solutions are often used for highly toxic materials so they are often designed with bag-in-bag-out capability to eliminate potential exposure of maintenance personnel to the removed contaminants. Typically, these critical installations are also designed as dual systems with auto turnover to allow continuous operation of one system while the redundant system is serviced. HEPA technology can scale from POU to full facility.

Conclusion

All of these technologies are available now, but not all have been demonstrated in semicon- ductor manufacturing. Semiconductor manufacturers have long used POU WESPs and Venturi scrubbers and are very familiar with HEPA filtration systems, but primarily for particulate removal for air conditioning. Conventional filters are in operation on flat panel display exhausts (mainly on burner only dry abated CVD processes). Some of the technologies we have described, however, have not been proven in semiconductor applications, but are well developed and widely accepted in other industries. Rotoclone systems, for instance, are UL and CE certified, but have not been SEMI qualified. As semiconductor manufacturing processes continue to evolve, it will behove manufacturers to stay current on available technol- ogies and consider alternatives as performance and cost requirements dictate.

References

1. Review of the health impacts of emission sources, types and levels of particulate matter air pollution in ambient air in NSW; December, 2015; Produced for the NSW Environment Protection Authority and NSW Ministry of Health, Environmental Health Branch.

Lam Research Corp. (Nasdaq: LRCX), an advanced manufacturer of semiconductor equipment, today announced that it is expanding its atomic layer etching (ALE) portfolio with the addition of ALE capability on its Flex dielectric etch systems. Enabled by Lam’s Advanced Mixed Mode Pulsing (AMMP) technology, the new ALE process has demonstrated the atomic-level control needed to address key challenges in scaling logic devices to 10nm and below. First in the industry to use plasma-enhanced ALE in production for dielectric films, the latest Flex system has been adopted as tool of record for high-volume manufacturing of logic devices.

“From transistor and contact creation to interconnect patterning, a new level of precision is needed by logic manufacturers to continue scaling beyond the 10nm technology node,” said Vahid Vahedi, group vice president, Etch Product Group. “For device-enabling applications like self-aligned contacts, where etch helps create critical structures, conventional technologies do not provide sufficient control for the stringent specifications now demanded. Our latest Flex product with dielectric ALE delivers atomic-scale control with proven productivity to meet customers’ key requirements.”

To continue logic device scaling, chipmakers are adopting new integration schemes such as those using self-aligned contacts (SACs) in order to address issues like RC delay. As a result, contact etch has become one of the most crucial processes, directly impacting both wafer yield and transistor performance. In order to define critical device structures with high fidelity, the etch process requires directional (anisotropic) capability with ultra-high selectivity, while also delivering the productivity needed for manufacturing.

For next-generation logic and foundry applications, Lam’s Flex dielectric etch systems offer the industry’s most advanced capacitively coupled plasma (CCP) reactor, featuring a unique, small-volume design to deliver repeatable results. The latest system uses proprietary AMMP technology to enable ALE of dielectric films such as silicon dioxide (SiO2). This capability results in a 2x improvement in selectivity over previous dielectric etch technologies while delivering atomic-level control.

Cabot Microelectronics Corporation (Nasdaq: CCMP), a supplier of chemical mechanical planarization (CMP) polishing slurries and a growing CMP pad supplier to the semiconductor industry, announced the appointment of Thomas F. Kelly, Vice President, Corporate Development, which is effective as of September 6, 2016. Mr. Kelly rejoins Cabot Microelectronics after serving as the Director of Global Raw Materials Procurement for Celanese Corporation from 2012 through 2016, and prior to that as the Vice President of New Business Development and the Program Management Organization of Chemtura Corporation, where he was employed from 2008 until 2012. He was employed by Cabot Microelectronics from 1999 through 2008, serving in various senior business operations, product management, and supply chain assurance roles.

“I am delighted to welcome Tom Kelly back to Cabot Microelectronics, and am confident his executive expertise from various global companies in the larger engineered materials and chemicals industries will benefit our company greatly in a number of important areas,” said David H. Li, Cabot Microelectronics’ President and Chief Executive Officer. “Tom knows our business, industry, customers and supply chain well, along with having developed important experience in mergers and acquisitions, business development, and corporate strategy from his more recent roles in helping to lead multi-billion dollar global businesses.”

In addition to this, the Company announced that as of September 1, 2016, Daniel J. Pike has resigned from his position as Vice President, Corporate Development, and will continue to serve the Company in a non-executive transition role until March 1, 2017. Mr. Li stated, “I would like to thank Dan for his significant contribution to the founding and growth of Cabot Microelectronics during his many years of service. All of us wish him well in his future endeavors.”

Lam Research Corp. (NASDAQ: LRCX), an advanced manufacturer of semiconductor equipment, today introduced an atomic layer deposition (ALD) process for depositing low-fluorine-content tungsten films, the latest addition to its ALTUS family of products. With the industry’s first low-fluorine tungsten (LFW) ALD process, the ALTUS Max E Series addresses memory chipmakers’ key challenges and enables the continued scaling of 3D NAND and DRAM devices. Building on Lam’s market-leading product portfolio for memory applications, the new system is gaining market traction worldwide, winning production positions at leading 3D NAND and DRAM manufacturers and placement at multiple R&D sites.

“Consumer demand for ever more powerful devices is driving the need for high-capacity, high-performance storage, and deposition and etch are key process technology enablers of advanced memory chips,” said Tim Archer, Lam’s chief operating officer. “With the addition of the ALTUS Max E Series, we are expanding our memory portfolio and enabling our customers to capitalize on this next wave of industry drivers. Over the past twelve months, as the 3D NAND inflection has accelerated, we have doubled our shipments for these applications, leading to the largest deposition and etch installed base in our 3D NAND served markets.”

As manufacturers increase the number of memory cell layers for 3D NAND, two issues have become apparent for tungsten deposition in the word line fill application. First, fluorine diffusion from the tungsten film into the dielectrics can cause physical defects. Second, higher cumulative stress in devices with more than 48 pairs has resulted in excessive bowing. The resulting defects and stress can cause yield loss, as well as degraded electrical performance and device reliability. Because of these issues, tungsten films for advanced 3D NAND devices must have significantly reduced fluorine and intrinsic stress. Further, as critical dimensions shrink, resistance scaling becomes more challenging for the DRAM buried word line, as well as for metal gate/metal contact applications in logic devices.

“As memory chip manufacturers move to smaller nodes, the features that need to be filled are increasingly narrow and have higher aspect ratios,” said Sesha Varadarajan, group vice president, Deposition Product Group. “Lam’s new LFW ALD solution uses a controlled surface reaction to tune stress and fluorine levels and to lower resistance, all while delivering the required tungsten fill performance and productivity. When compared to chemical vapor deposition tungsten, the ALTUS Max E Series lowers fluorine content by up to 100x, lowers stress by up to 10x, and reduces resistivity by over 30%, solving some of our customers’ most critical scaling and integration challenges.”

The ALTUS Max E Series with LFW ALD technology offers a unique all-ALD deposition process that leverages Lam’s PNL (Pulsed Nucleation Layer) technology, which is the industry benchmark for tungsten ALD with 15 years of market leadership and more than 1,000 modules in production. Lam led the transition of chemical vapor deposition (CVD) tungsten nucleation to ALD tungsten nucleation with its PNL technology. The company continued that leadership by advancing low-resistivity tungsten solutions with its products ALTUS Max with PNLxT™, ALTUS Max with LRWxT, and ALTUS Max ExtremeFill for enhanced fill performance.

The ALTUS products use Lam’s quad-station module (QSM) architecture to allow per-station optimization of tungsten nucleation and fill for fluorine, stress, and resistance without compromising fill performance since station temperature can be set independently. The QSM configuration also maximizes productivity of the all-ALD process by providing up to 12 pedestals per system, enabling the highest footprint productivity in the industry.

By Pete Singer, Editor-in-Chief

N2O, or Nitrous Oxide, also known as laughing gas, is a weak anesthetic gas that has been in use since the late 18th century. Most people have experienced nitrous in the context of dentistry, but it’s also used to make whipped cream, in auto racing, deep sea diving, or – in the semiconductor industry — as the oxygen source for chemical vapor deposition (CVD) of silicon oxy-nitride (doped or undoped) or silicon dioxide, where it is used in conjunction with deposition gases such as silane. It’s also used in diffusion, rapid thermal processing and for process chamber treatments.

The problem – and why it’s no laughing matter – is that after CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG), accounting for 7% of emissions. According to the U.S. Environmental Protection Agency, 5% of U.S. N2O originates from industrial manufacturing, largely semiconductor manufacturing. “It’s very much of interest because of its high global warming potential, combined with its long atmospheric lifetime of over 100 years,” said Mike Czerniak Environmental Solutions Business Development Manager, Edwards. “After PFCs, this is one of the most impactful gases from semiconductor manufacturing.” With a TLV of 50ppm, N20 is also poses a health risk.

There are two ways to get rid of N2O: reducing and oxidizing. “Reducing means getting rid of the oxygen in it so you just drive it down to be nitrogen, or you can oxidize it and add additional oxygen to it,” Czerniak explained.

Oxidizing is the easier approach in that it involves putting the gas through an ordinary flame. “The problem with doing this is you then make nitrogen oxides, NOx, and that generally is very bad because that’s the gas that’s the acid rain contributor and it also does nasty things to people,” Czerniak said. When NOx and volatile organic compounds (VOCs) react in the presence of sunlight, they form photochemical smog, a significant form of air pollution, especially in the summer. “If you do make NOx, then you probably want to do some additional treatment to try and get rid of the NOx that you’ve generated,” Czerniak said.

Reduction, therefore, is preferable. N2O can be catalytically reduced to H20 + N2. A reducing flame can be used in a combustor; this requires the presence of a reducing agent, such as methane (a commonly used fuel gas) or even a hydrogen-containing process gas such as silane. “You can avoid forming NOx if you use low temperatures, moderate amounts of oxygen, and you add a reducing agent like methane,” Czerniak said.

Edwards presently offers the Atlas series of inward-fired combustion gas abatement solutions. Atlas systems have low fuel consumption compared with previous-generation gas abatement devices and utilize proven Alzeta inward-fired combustor technology to achieve significantly reduced costs of ownership. With one to six inlets with a number of options, including a temperature management system (TMS), they can reach a flow capacity of up to 600 slm and they offer enhanced ease-of-use and more efficient maintenance.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

After CO2 and CH4, N2O is the 3rd most impactful man-induced greenhouse gas (GHG). Source: Climate Analysis Indicators Tool, World Resources Institute.

Samco, a Japan-based semiconductor processing equipment manufacturer, held a completion ceremony for its second production center on June 17. The new production center, which began construction in January and is expected to begin operations during the fall of this year, boosts Samco’s original shipment capacity of 6-7 billion yen per year to a total of 10-11 billion yen per year.

“We expect to see an increased demand for dry etching and CVD systems due to the IoT’s rapid expansion, as well as anticipated growth in the medical and robotics industries,” says Osamu Tsuji, Samco’s president, chairman and CEO. “With the completion of our newest production center, Samco is prepared to meet those demands.”

Containing a total land area of 1,260 m2, Samco’s second production center’s total floor space is 1,130 m2 (which includes a 217.61 square-meter clean room), and will mainly be used to assemble and modify processing equipment for mass production. The 600 million yen investment also included renovations for the existing production technology building.

The two-floor steel-framed building stands adjacent to Samco’s headquarters in Kyoto, which has been described as the “Silicon Valley of Japan” due to its abundance of technology-based companies and manufacturers.

“Our second production center serves as a contribution to the local economy and is recognized by Kyoto City’s program to promote the siting of companies within the city,” says Tsuji.

The new production center’s eco-friendly design utilizes LED lighting that employs the latest energy-saving technology, as well as an energy efficient air conditioner and large-scale solar panel that spans across the roof and provides a portion of the building’s electricity (max. 50kW/h). Additionally, the roof is coated with a high-insulation paint.

“At the same time, the building also observes the city’s construction regulations that aim to protect Kyoto’s place as a historical and cultural treasure,” says Tsuji.